• Nie Znaleziono Wyników

Evanescent Waveguide Sensors for Biomedical Applications

N/A
N/A
Protected

Academic year: 2021

Share "Evanescent Waveguide Sensors for Biomedical Applications"

Copied!
131
0
0

Pełen tekst

(1)

Evanescent Waveguide Sensors

for Biomedical Applications

(2)
(3)

Evanescent Waveguide Sensors

for Biomedical Applications

Proefschrift

ter verkrijging van de graad van doctor aan de Technische Universiteit Delft,

op gezag van de Rector Magnificus Prof. ir. K.C.A.M. Luyben, voorzitter van het College voor Promoties,

in het openbaar te verdedigen op Woensdag 29 October 2014 om 12:30 uur, door,

Agung PURNIAWAN

Master of Engineering geboren te Tulungagung, Indonesie

(4)

Prof. dr. P.J. French

Samenstelling promotiecommissie:

Rector Magnificus voorzitter

Prof.dr. P.J. French Technische Universiteit Delft, promotor Prof.dr.ir. P.M. Sarro Technische Universiteit Delft

Prof.dr. J.F. Lange Erasmus University Medical Center Prof. dr. E. Sudhotler Technische Universiteit Delft Prof.dr. C.I.M. Beenaker Technische Universiteit Delft

Dr. C. Otto Universiteit Twente

Prof.dr. Ronald Dekker Technische Universiteit Delft

Prof.dr. G.C.M. Meijer Technische Universiteit Delft, reservelid

This thesis has been completed in partial fulfillment of the requirements of the Electron-ics Instrumentation (EI), Delft Institute of Microsystem and NanoelectronElectron-ics (DIMES), TU Delft for graduate studies. The research described in this thesis is supported by the Thin Film Nanomanufacturing (TFN) project, Stichting voor de Technische Wetenschap-pen (STW), Project Title: Tailored ALD layer properties for sensing application, project no: STW 10026.

Printed by: Ipskamp Drukkers B.V.

ISBN: 978-94-6259-382-4

Key word: evanecent wave, ALD thin film, anastomosis, drain fluid.

Copyright c 2014 by A. Purniawan

All rights reserved. No part of the material protected by this copyright notice may be re-produced or utilized in any form or by any means, electronic or mechanical, including pho-tocopying, recording or by any information storage and retrieval system, without written permission of the author.

(5)

v

to my parents

to my beloved wife and daughters

(6)
(7)

Contents

1 Introduction 1

1.1 Biomedical sensors . . . 1

1.2 Motivation and objectives . . . 2

1.3 Approach . . . 4

1.4 Organisation of the thesis . . . 5

2 Background of Micromachining and Atomic Layer Deposition 9 2.1 Introduction . . . 9

2.2 Basic processing steps . . . 10

2.2.1 Pattern transfer and resist patterning . . . 10

2.2.2 Oxidation . . . 13 2.2.3 Doping . . . 14 2.2.4 Etching . . . 14 2.2.5 Packaging . . . 17 2.3 Micromachining . . . 19 2.3.1 Bulk micromachining . . . 20 2.3.2 Surface micromachining . . . 20 2.4 Thin-film deposition . . . 21

2.4.1 Chemical vapour deposition . . . 23

2.4.2 Physical vapour deposition . . . 23

2.5 Atomic layer deposition . . . 25

2.5.1 Surface chemistry of ALD . . . 25

2.5.2 ALD thin-film growth . . . 30

2.5.3 The comparison of ALD to other thin-film deposition methods . . . 31

2.6 Summary . . . 33

3 Design Considerations 35 3.1 Introduction . . . 35

3.2 Wave Propagation . . . 35

3.3 Evanescent waveguide . . . 37

3.3.1 Effective index method . . . 39

3.3.2 Penetration depth . . . 42

3.3.3 Evanescent wave for sensor applications . . . 42

3.4 The Lambert-Beer law . . . 44

3.5 Summary . . . 46

(8)

4 Material Development 47

4.1 Introduction . . . 47

4.2 TiO2atomic layer deposition . . . 47

4.3 Thin film characterisation . . . 48

4.3.1 Thickness and optical properties . . . 49

4.3.2 Surface morphology . . . 50

4.3.3 Thin-film stress . . . 52

4.4 Summary . . . 53

5 Device Design and Fabrication 55 5.1 Introduction . . . 55

5.2 Device design . . . 55

5.2.1 Estimation of optimum sensitivity and thickness using normalised parameters . . . 56

5.2.2 Thickness determination using the effective index method . . . 56

5.2.3 Optical path length . . . 60

5.2.4 Rib waveguide dimensions . . . 60

5.3 Fabrication . . . 60

5.3.1 Front side patterning . . . 61

5.3.2 Free-standing structure . . . 63

5.4 Summary . . . 66

6 Optical and Biomedical Measurements 69 6.1 Introduction . . . 69

6.2 Optical measurement . . . 69

6.2.1 Optical measurement system setup . . . 69

6.2.2 Propagation losses . . . 69 6.2.3 Sensitivity measurements . . . 71 6.3 Biomedical measurement . . . 71 6.4 Escherichia coli . . . 74 6.4.1 Culturing . . . 74 6.4.2 Counting . . . 75 6.5 Bio-receptor . . . 75

6.5.1 Self assembled monolayers . . . 77

6.5.2 Bridging agent material . . . 78

6.5.3 Antibodies . . . 78

6.6 Reaction between antibodies and E. coli . . . 78

6.7 Measurement of E. coli dilution . . . 80

6.7.1 Minimum concentration of sensor detection . . . 80

6.7.2 Selectivity . . . 82

6.7.3 Reproducibility . . . 85

6.8 Summary . . . 86

7 Conclusions and Future Work 87 7.1 Conclusions . . . 87

(9)

Contents vii Bibliography 91 Abbreviations 105 Appendix A 107 Summary 111 Samenvatting 113 Ringkasan 115 Acknowledgments 117 List of Publications 119

(10)
(11)

Chapter 1

Introduction

1.1

Biomedical sensors

Sensors are critical in every aspect of modern life [1]. Since the twentieth century, there has been a rapid growth in the research and many new sensors have been developed. Sen-sors have become essential for improving quality and accuracy of measurement system. They provide information by scanning optical, electrical, biological signals as part of these systems.

Modern health care is interdisciplinary and draws on disciplines such as electrical engi-neering, material science, and information technology and on specific areas such as signal processing and sensor to obtain biological data from patients. Biomedical sensors can be divided into physical sensors and chemical sensors. A physical sensor using light to detect changes in sensing region is optical sensor. Ever since the seventeenth century, light has played a role in research, which started with the invention of the microscope by Dutch sci-entist Antonie van Leeuwenhoek. The invention has enabled research in biology, biomedical engineering and other scientific fields. Using the microscope, in 1830s, the Germany scien-tists M.J. Schleiden and Theodore Schwann found the basic unit of plant and animal tissue, the cell [2].

In modern surgery, the sensors can contribute before, during and after the surgery. The first contribution of the sensor is before surgery which is in order to ensure an accurate diag-nosis of these patients by determining the area where the cancer is located. During surgery, there are many sensors that assist by providing information about the patient. Finally, the sensors can contribute to the evaluation of the patient’s condition after surgery.

This thesis discusses another application of light for biomedical purposes: a sensor for diagnosing colon anastomosis leakage. The principle of the sensor is that it uses light prop-agation an optical waveguide. The part of the wave that propagates outside the waveguide is called an evanescent wave. The evanescent wave decreases exponentially with the distance from the waveguide surface. Interestingly, in this application the phenomenon can be used as sensor to detect changes in the waveguide environment.

The evanescent waveguide has been developed specially as a biomedical sensor appli-cation to monitor the patient’s condition after colon anastomosis. Its role is to detect anas-tomosis leakage by means of the bacterial concentration in the drain fluid by measuring the

(12)

amount of transmission light through waveguide.

In order to obtain high quality waveguide material, in this research, atomic layer deposi-tion (ALD) was used. The ALD technology was first patented by Suntola in 1977 as Atomic Layer Epitaxy (ALE). Further publications explained the new method [3] and [4]. Currently the technology is mostly adopted in the field of microelectronics, especially in Integrated Circuit (IC) processing and CMOS technology.

1.2

Motivation and objectives

Anastomosis is a type of surgery for reconnecting two parts of tubular body part such as the colon. This thesis focuses on colon anastomosis. The word ’colon’ is from the Greek

’koluein’, which means to retard. It is part of the digestive system as shown in Figure 1.1.

Figure 1.1: Anatomy of human digestive system consisting of oesophagus, stomach, small intestine, colon, rectum and anus [5]

The digestive system is a system for absorption of essential nutrients. On average the large intestine is approximately 150 cm in length and its diameter gradually decreases from 7.5 cm at the caecum to 2.5 cm at the sigmoid (pelvic colon), the part of the large intestine that is closest to the rectum and anus [6].

The American Cancer Society explains that cancer is a major public health problem in

the United States and many other parts of the world. One in four deaths in the United States is due to cancer. They have investigated several types of cancer. According to their data,

colon cancer is most common type of gastrointestinal cancer. Moreover, in colon cancer cases, the mortality is about 50%. Figure 1.2 shows the colon cancer case and mortality caused by colon cancer in the United States over 10 years from 2003 to 2012 [7–16].

Figure 1.2 indicates the seriousness of the problem of colon cancer. Surgery to remove the infected part of the colon is one technique to treat the cancer. The surgery to recon-nect the colon after removing it is called colon anastomosis. However, there is sometimes leakage after surgery (in North America the percentage is 3.6% [17] ). Leakage means that bacteria inside the colon can enter the abdominal cavity and cause severe complications. Pa-tients mortality due to anastomosis leakage is still high, because often leakage is identified too late. The death risk for patients with anastomosis leakage is 7.2 times higher than for

(13)

1.2 Motivation and objectives 3

Year

N

u

mb

e

r

o

f

ca

ses

/d

e

a

th

s

Colon cancer case

Mortality caused colon cancer

Figure 1.2: Report of American Cancer Society about colon cancer cases and mortality caused by the cancer in United States during 10 years from 2003 to 2012

patients without the leakage [18]. Figure 1.3 shows the long-term (up to five years) proba-bility of tumour-free survival for patient with and without anastomosis leakage as reported by R. Kube et al.[18].

Time after surgery (year)

80 0 0 5 4 3 2 1 20 40 60 100

With AL

Without AL

P

ro

b

a

b

il

it

y

o

f

tu

m

o

u

r

fr

ee

s

ur

v

iv

a

l

(%

)

Figure 1.3: Probability of tumour-free survival after anastomosis surgery for patients with and without anastomosis leakage (AL) for a long-term period (0 - 5 years) [18]

(14)

bac-teria in drain fluid: E.coli, Klebsiella sp. and Staphylococcus warneri [19]. These bacbac-teria are essential for food digestion. If there is leakage in the colon, these bacteria can enter the abdominal cavity and cause severe infections. There are several indications of anastomo-sis leakage, which conanastomo-sist of clinical signs, biochemical markers, radiological studies and intraoperative findings [20]. Table 1.1 shows the definition of anastomosis leakage.

Table 1.1: Definition of anastomotic leakage based on clinical signs [20]

Clinical signs Pain, peritonitis, feculent, or purulent drainage Biochemical markers Fever, tachycardia, leucocytosis

Radiological study Fluid collections

Intra-operative findings Gross enteric spillage, anastomotic disruption

There are many cases of undetected leakage and these are difficult to predict. Leakage remains a major problem in colon-rectal surgery. In addition, the incidences of the leakage is as high as 15 to 20 % [21]. The two main complications of anastomosis leakage are shown in Table 1.2.

Table 1.2: Percentage of complications resulting from anastomosis leakage

Case Percentage and References Mortality 18.6% [18]; 1.5% [22] Secondary complication 62.7% [18]

Unfortunately, there are limited measurement methods for early detection of anastomo-sis leakage. The existing methods (manual, clinical and bacteria culturing) take too long for analysis, around seven days [19]. Often the results come too late to take action, multi-organ failure may occur resulting in high mortality [18]. The challenge in this case is ”how to

develop a device to reduce the measurement time of the diagnostic process?”. This thesis

describes the design, fabrication and characterisation of an evanescent waveguide sensor aimed at reducing the diagnostic time for detecting anastomosis leakage.

1.3

Approach

One method to detect leakage is by detecting bacteria in the drain fluid. The basic working concept of the sensor is that the presence of bacteria in the drain fluid will change the refractive index of the cladding. Consequently, this will change the light transmission during its propagation through a waveguide. This phenomenon is very interesting when using optical sensors.

The aim of this research is to develop an evanescent waveguide sensor to detect the bac-teria concentration in a drain fluid as an indication of anastomosis leakage. A combination of the Lambert-Beer law and the evanescent waveguide sensor concept has been used to de-sign the dimensions and structure the evanescent waveguide. Using Lambert-Beer law, the

(15)

1.4 Organisation of the thesis 5

optical path length of the sensor can be calculated to estimate the percentage of transmis-sion. The sensitivity can be estimated by using the normalized parameters method, which is explained in greater depth by Velduis et.al [23].

Titanium dioxide deposited by atomic layer deposition (ALD) was used a waveguide material, designed a free-standing bridge-like structure. Because evanescent wave which exists at both sides (the front and back side), the free-standing waveguide is expected to increase the sensitivity of the sensor by sensing the change in both sides of the waveguide. In order to develop a highly sensitive and selective sensor, there are two aspects that have to be considered for this abiomedical sensor.

• Fabrication aspect

The waveguide was fabricated as a rib waveguide in a free-standing bridge-like struc-ture. Due to brittleness and thinness in free-standing region, the structure dimension must be determined and the proper etching method after the deposition of TiO2-ALD

must be considered.

• Selectivity issue

The drain fluid is a highly complex fluid. Consequently, besides the sensitivity aspect to detect the change, the sensor must have high selectivity. The selectivity is very important in this case to ensure the sensor detects the target of interest properly.

1.4

Organisation of the thesis

The thesis deals with a new method to evaluate the condition of the patient after colon surgery: an evanescent waveguide sensor has been developed as a biosensor for detecting bacteria in drain fluid. The basic concept of this optical sensor is described, the fabrication process, surface functionalisation and optical measurement. Chapter 1 describes the back-ground, motivation and objective of the research and the approach and organisation of this thesis.

Chapter 2 gives the background to basic processing steps of micromachining including pattern transfer and resist patterning, oxidation, doping, etching and packaging. Thin film deposition technique such as chemical vapour deposition, physical vapour deposition and atomic layer deposition (ALD) are also discussed in this chapter.

Chapter 3 discusses design consideration. As the purpose of the research is to develop an optical sensor, the optical aspects must be considered during the design. Wave propa-gation in the waveguide, effective index method analysis, evanescent phenomena and depth penetration are properties that are considered in the device design by combining them with Lambert-Beer law.

Chapter 4 describes the material development in order to a high quality evanescent waveguide sensor. In this chapter, the deposition technique is explained especially deposit-ing of TiO2using atomic layer deposition. Thin film parameters such as thickness, surface

morphology, optical properties, and stress are also explained in this chapter.

Chapter 5 explains the device design and the fabrication process of the waveguide. Based on the design consideration theory in the Chapter 3, the optimum dimension of the waveguide are then determined followed by fabrication of the waveguide.

(16)

Chapter 6 expresses the optical measurement which consists of measuring propagation losses and the sensitivity of the waveguide sensor using isopropylalcohol (IPA). Biomedical measurements are also discussed in this chapter including the implementation of the sensor in a biological medium by using culture bacteria and drain fluid. Surface functionalisation is carried out to ensure the sensor detect the proper bacteria as target. The sensitivity of the sensor is investigated using several different concentrations of E.coli culture in a medium. Selectivity is evaluated by using a mixture of E.coli and Bacillus subtilus. A reproducibility test of the sensor is conducted to evaluate the performance of the sensor after several time of testing. Finally, Chapter 7 consists of a summary and suggestions for future work

(17)
(18)
(19)

Chapter 2

Background of Micromachining

and Atomic Layer Deposition

2.1

Introduction

The challenge for engineers and scientists is how to down-scale devices or systems to reduce the price and maintain high-quality. In addition, the integrated devices in the system or platform must be compatible with each other. Micromachining offers the possibility of producing a high quality product that has high compatibility when integrated with other platforms.

Micromachining can be categorised in down and bottom-up approaches. The top-down approach is suitable for device platform fabrication while the bottom-up approach is often used for the development of sensing materials, for example the coating of a gas-specific film in a gas sensor. The limited dimensions that still can be fabricated using top-down approach is in the range of tens of nanometers to a few hundred microns. Bottom-up approach, on the other hand, can produce dimension of down to a few angstroms[24]. The initial commercial product in micromachining were the pressure transducers [25]. The top-down technique using photolithography has been used in the semiconductor industry for over 30 years [26]. Micromachining can also include mechanical micromachining technique such as microlathing, micromilling, Micro Electric Discharge Machine (micro-EDM), etc. In this chapter, we will discuss lithography micromachining, which consists of the basic steps of the technique, the type of micromachining and thin film deposition.

Atomic layer deposition (ALD) is one the thin-film depositing technique for producing a high quality thin film. The technique is discussed in more detail in Section 2.5. However, the general principles of thin film deposition, such as chemical vapour deposition (CVD) and physical vapour deposition (PVD), are reported briefly in Section 2.4. In this research, the ALD technique was used to deposit a thin film as core of the waveguide. The basic chemical reaction of thin-film growth during ALD thin-film deposition is also discussed in this Section 2.4.

(20)

2.2

Basic processing steps

The general basic steps of micromachining are discussed in this section. The technology consists of several steps followed by repeating them with some combinations of pattern transfer and photoresist patterning, oxidation, doping (ion implantation and diffusion), etch-ing, thin-film deposition and packaging. The technique involves two major tasks in order to manipulate: [27]:

• The local electric fields and currents

The main purpose of the micromachining is device miniaturisation. In IC technology, the process creates the interconnected 3D structures of insulators and conductors that have an effect on local electric fields and currents.

• The local concentration of charge carriers

Selectively doping regions of the semiconductor (to create pn junctions and other electrical components) or ion implantation is important to manipulate the local con-centration of charge carriers.

Lithography is an important step in micromachining. The word lithography comes from two Greek words: ”lithos” and ”graphia”, meaning stones and to write, respectively. In micro-machining, the lithography means creating a pattern on a silicon wafer or glass as a substrate with a light-sensitive polymer which is called a photoresist. Figure 2.1 shows the main process of lithography.

Figure 2.1(a) shows a wafer with a thin film on the surface. The deposition techniques will be discussed in more detail in Section 2.4 and 2.5. The photoresist is applied to the sur-face of the thin film (Figure 2.1(b)). There are two types of photoresist: positive photoresist, which exposes the part which will be removed when developing and negative photoresist, which exposes the material that will remain. Then, after exposure the etching process take place. The thin film is etched in the open window of photoresist using wet etching (KOH, TMAOH, HF, etc) or dry etching (reactive ion etching) using plasma (Figure 2.1(c)). Figure 2.1(d) shows the structure resulting from one cycle of lithography.

2.2.1

Pattern transfer and resist patterning

The pattern design determines the exposed and unexposed part of the surface. Photolithogra-phy is commonly used as the pattern transfer technique. A common method is to use a mask to expose the resist selectivity. Another method is mask-less lithography (ML2)/electron beam lithography (EBL)/ direct-write technique, where the pattern is written directly onto the wafer.

Photolithography

When the photolithography technique is used, the pattern is transfered from mask to wafer using a contact/proximity aligner or a stepper. With the contact or proximity aligner, the mask is brought close to the resist-coated wafer and then exposure take place through the mask. The precision of the design transfer depends on the gap between mask and wafer. A large gap affects the focus of radiation and causes less precise results. A very small gap, on the other hand, might create defects in wafer or mask due to mechanical contact between

(21)

2.2 Basic processing steps 11

Open window

after developing

Wafer

(a)

Thin film

(b)

(c)

(d)

Etching

through thin

film

Photoresist

Final

structure after

resist strip

Figure 2.1: Schematic of one cycle of a photolithography surface micromachining process (a) thin-film deposition (b) lithography (photoresist coating, exposure to UV light and developing) (c) wet etching or dry etching (reactive ion etching) and (d) photoresist removal. In order to obtain the expected structure, the process should be iterated several times

both surfaces. The advantages of this technique are that it is simple and inexpensive. How-ever, to obtain good results, a highly skilled operator is needed, and limited resolution is a limitation of the contact aligner. The second technique is using a stepper. The advantages of a stepper are that the equipment carries out a number of steps automatically (positioning, focusing and alignment) and that it can process all wafers in a cassette (Standard Mechan-ical Interface (SMIF) pod or Front Opening Unifield Pod (FOUP)) in a one-time process. Therefore the stepper is suitable for mass production, as it reduces the times consumed dur-ing by alignment.

Electron beam lithography

Direct-write or maskless lithography is another method for pattern transfer. This technique which include Electron Beam Lithography (EBL) and patterns photoresist on the wafer di-rectly (maskless) using a finely focused (sub-micrometer diameter) electron beam [28]. The development of EBL machine based on scanning electron microscope was started in the 1960s by patterning with a resolution of 20 nm on a thin-film substrate and of 150 nm on a bulk substrate. However, the quality of the pattern has some limitation and some character-istics of the system must be considered, namely minimum beam size, scattering electrons, the resolution of the resist, the speed and accuracy, current density and the sensitivity of the resist.

(22)

The resolution of EBL depends on the resist and the subsequent fabrication process. Broers et al. [29] reported, for example, having fabricated 50 nm line widths using a beam with a diameter of 10 nm. The limited resolution is not due to the diameter of the beam but to the resist. The molecular weight of the resist material is important. EBL was applied to polymethyl methacrylate (PMMA) [30]. The result shows that the resolution of PMMA is below 10 nm at high incident electron energy. In addition, the report shows that if one wants to obtain high resolution, the resist development is an important issue.

A Single-element beam device is an alternative for good reproducibility in resolution. The single element device is also able to produce a very dense array of nanostructures for a data storage application. However, the technique is not compatible with mass production as the process is slow. Multiple electron beam lithography is an alternative with a higher processing speed, enabling mass production. The disadvantage of this technique is the interaction between electrons which occurs during the process. Several specific approaches to avoid this interaction have been reported by Chang et.al [31].

• Multiple columns

In this approach, separate miniaturised columns were developed to avoid electron-electron interaction between beams. Two techniques were explored: microcolumn and minicolumn arrays. The differences between these techniques are the voltage of the electron beam and the fabrication process. In microcolumn arrays, beams with a low kV (1-2 kV) are created using semiconductor microfabication and Microelec-tronic Mechanical System (MEMS). In minicolumn arrays, on the other hand, the beams (1-50 kV) are fabricated using conventional mechanical machining.

• Single column with multiple-source array

This approach uses array electron beams sources which are created in a single col-umn. The arrays are collected using a conventional electron-optical column to create a bundle of electron beamlets along the column axis. Then the beamlets are scanned and each bundle performs resist exposure. The spacing between beamlet is relatively small, but by increasing the spacing, the electron-electron interaction can be reduced.

• Distributed multiple beams

The multiple beams are created by multiple sources that are distributed over the entire area of the wafer. Then the full array of beam is deflected in one direction. The spacing of beamlets is relatively large because the waver moves orthogonally during the exposure.

• Proximal probe arrays

Scanning Tunneling Microscope (STM) and Atomic Force Microscope (AFM) are used to produce multiple electron beams. The application of the technique for data storage is reported by Vettiger et.al.[32].

Improvement of the technology has already reached nano-scale resolution. ”MAPPER” (spin-off company from TU Delft) has developed an electron beam lithography technique. Slot et.al.[33] reported that the electron optics generates 13,000 electron beams that are fo-cused on the wafer by electrostatic lens arrays which are manufactured by using MEMS

(23)

2.2 Basic processing steps 13

manufacturing techniques. This secures high throughput (> 10 wafers per hour) at high

res-olution (< 45 nm half pitch). In addition, the company is presently focusing an applications

below the 20 nm node and on direct-write lithography .

2.2.2

Oxidation

In IC technology fabrication, silicon dioxide (SiO2) is a stable layer that is very convenient

for fabrication. It contributes to protection of the device from moisture and atmospheric contaminant. The oxide also plays a role in the micromachining process: it serves as an insulator on the surface of the wafer. SiO2can also be used as a mask for ion implantation.

For special purposes, the oxide must be grown on the Si wafer surface. This is done by thermal oxidation at high temperature in an oxygen atmosphere. The process is similar to diffusion. The furnace temperature, oxidation time and oxygen flow rate are parameters that influence the thickness of the oxide. To grow oxide, silicon wafers are placed in a high-temperature furnace (900oC< T < 1200oC) in the presence of oxygen. The simple

chemical reaction as follows:

Si(solid) + 02(gas) = SiO2(solid) (2.1)

Equation 2.1 describes is dry oxidation in an atmosphere with only oxygen. However, the growth rate with this approach is low. In order to increase the growth rate, water vapour is added to the furnace.

Si(solid) + 2H2O(gas) = Si02(solid) + 2H2(gas) (2.2)

The water vapour is produced by boiling ultra-high-purity water, which is then intro-duced into the furnace. Current technologies use hydrogen and oxygen that are channeled in the furnace to form ultra-high-purity water vapour. Since a H2O molecule is about half

the size of a O2molecule, the oxidation process using H2O will be much faster than that

with O2. However, to obtain the uniformity, homogeneity and reproducibility for the oxide.

The initial growth rate is driven by the chemical reaction between the oxidant and the silicon wafer surface. After this reaction that the growth rate will be limited, because of the diffusion of the oxidant (O2or H2O)through the surface of the wafer. Consequently the rate

of reactant diffusion will be proportional to the thickness of the layer.

dx dt =

C

x (2.3)

In which x is the oxide thickness, t is time and C is a constant of proportionality. Rearrang-ing this equation gives

x.dx = C.dt (2.4)

By integrating Equation 2.4 we can estimate the oxide thickness

x2

2 = C.t (2.5)

(24)

From Equation 2.6 it can be seen that the oxide thickness will be the square root of oxidation time.

Interestingly, the oxidation will create an interlayer between Si and SiO2while the

tran-sition region has specific characters. Various charges exist in the regions which consist of interface-trapped charges that results from defects, impurities and bond breaking. These are expressed in terms of unit area and energy in the silicon band gap. There is fixed oxide charge, which is determined by temperature and ambient conditions. Mobile ion charge is also present in the interface, which is attributed to alkali ions such as sodium, potassium, and lithium. The ions are mobile even at room temperature when electric fields are present. The other type of charge is oxide trapped charge, which is associated with defects in the oxide.

2.2.3

Doping

Doping is defined as driving specific atoms into a workpiece (ion implantation in the surface followed by diffusion through the wafer). The purpose of the process is to change the properties of the material. Silicon has four electrons in the outermost shell of the atom, which will be used during bonding with other atoms. So in a pure silicon wafer, each Si electron has paired with an electron from another Si atoms. In this case, all the electron pairs are embedded in between Si atoms. The material thus has high resistivity. To achieve conduction doping atoms must be introduced to yield excess electrons (n-type) or holes (p-type).

Phosphorus has five free electrons in its outer shell (column V) and is close to silicon in size. So phosphorus is a good candidate as a n-doping atom. The resulting silicon is called an n-type semiconductor.

The other function of modification of silicon is to create hole in the structure by intro-ducing an element that has less electron valence than silicon. Boron is the best candidate. The element is in column III and has three free electrons in its outers shell. When boron is doped into silicon, three of the free electrons will bond with three electrons of silicon, so there is still one electron of the silicon atom left, which will create hole in the structure. To complete the structure, the hole must look for other electrons and move around. The type of silicon that is doped with boron is called a p-type semiconductor.

Inserting dopant to silicon involves two steps:

• Ion implantation

In order to diffuse the dopant deeper into silicon, the dopant requires energy such as heat. The temperature of the doping process is 900oC<T<1200oC.

• Diffusion

The dopant can come from solid source such as boron nitride and phosphorus oxide, a liquid source (boron tribromide and POCl3), or a gas (diborane or phosphine).

• Drive-in

In the drive in step, the dopant is forced to diffuse deeper into the wafer> 3µm.

2.2.4

Etching

(25)

2.2 Basic processing steps 15

• Wet etching

Wet etching is chemical process for etching a target using an etching solution. The result is form isotropic that etch also underneath photoresist. Some common liquid acids that are used as etching solution are hydrofluoric acid (HF), some etcher such as potassium hydroxide (KOH) and tetramethylammonium hydroxide (TMAOH) etch anisotropic.

• Drying etching

Dry etching or plasma etching is used to form anisotropic structures. The technique involves using plasma or ion bombardment to pattern the workpiece. The ions can be accelerated toward the surface by applying an electric field. In this case, the etching is a combination of chemical (due to the reaction between plasma and thin film) and physical etching (due to ion bombardment on the wafer).

In order to remove the unwanted parts, both methods can be used. Therefore in the determination of the method the expected results must be considered, the complexity and compatibility of the structure. Usually, wet etching result is fully isotropic etching in which the etch rate is similar in all directions. However, wet etching is also able to yield anisotropic etching due to the surface orientation of the material. The surface orientation affects the etching rate on etching orientation. Figure 2.2 shows the different results for isotropic and anisotropic wet etching.

In wet etching, tetramethylammonium hydroxide (TMAOH) and potassium hydroxide (KOH) are widely used. There are three principal steps in wet etching: (a) the diffusion reactant to the etched surface is applied, (b) reaction of reactant and material takes place at the surface, and (c) the product of reactions is removed from the surface [34].

Isotropic wet etching: Agitation

Silicon

Silicon

Isotropic wet etching: No agitation

(110) surface orientation

Anisotropic wet etching: (100) Surface

Anisotropic wet etching: (110) Surface (111) Silicon Silicon (100) surface orientation (111) (a) (b)

Figure 2.2: Illustration of isotropic and anisotropic wet etching (a) rounded structure re-sults from agitation. The ratio of the vertical and horizontal etching rate is higher with agitation than without agitation (b) anisotropic wet etching due to surface orientation. The crystal orientation affects the profile result of the etch-ing [35]

Dry etching or plasma etching can consist of reactive ion etching (RIE), electron cy-clotron resonance (ECR), or inductive coupled plasma (ICP). The result of dry etching is often anisotropic.

(26)

The phenomena in plasma etching are very complex consisting of physical reactions, chemical reactions, and electrical interaction (particles and particles or particles and elec-tromagnetics). In general, factors that influence the reaction in the plasma reactor are the DC voltage, the gases used and their flow rate, pressure and power. The DC voltage is a determining factor in capacitive coupled RF plasma. Low electronegative gases (O2and

N2) have a very negative DC bias voltage. Fluorine (SF6), chlorine and bromine even more

electronegative. Due to the electronegative nature of the gas, DC voltage for SF6plasma is

less than that for N2plasma.

The selection of materials in micromachining is important to the design of the process because of the different reaction of materials during reaction with etching reactant or re-sistant during ion bombardment in plasma etching. The difference in etch rate between the etching target and the protection material (photoresist)/other material is called the etch

selectivity.

The selectivity influence the required photoresist thickness applied on the wafer. There is good selectivity if the etch selectivity of both material is more than 4 (for example, polysil-icon with a novolac resist) and poor if the selectivity is around one (an organic bottom with antireflection coating)[27]. The etch rate of several materials with respect to a chemical solution or plasma/dry etching was reported by William et.al. [36]

Etch selectivity must be considered before the process due to its influence on the dimen-sions of the final structure. The critical dimension shrink of the structure can be determined as follows:

dCD

dt = 2(RH+ RVcotθ) (2.7)

where CD is the critical dimension, RHand RVare the etch rate in the horizontal and vertical

direction andθis a straight sidewall angle with respect to the substrate. There are three ways in which the CD can be optimised: reduce RH and RV to improve etch selectivity, decrease

the ratio RH and RV to improve anisotropic and make the sidewalls close to substrate by

making cotθsmaller. Figure 2.3 shows the etch rate direction on protection material (i.e. photoresist) on the substrate.

RV

RH

Photoresist

Si Wafer

(27)

2.2 Basic processing steps 17

2.2.5

Packaging

A definition of microelectronic packaging has been given by Guy et.al. [37]. They state that ”Microelectronic packaging is a branch of engineering that deals with the design of methods for the fabrication and manufacture of interconnected miniature electronic systems (e.g., ICs and discrete and integrated passive devices) and the reliability of those systems”. In microelectromechanical systems (MEMS) and nanoelectromechanical systems (NEMS), it has becomes an important part of the process to maintain the performance(stability and reliability) of the device and integration with other platforms. The packaging protects the internal structure from environmental hazards such as temperature, moisture, high pressure and oxidizing species. Several types of packaging are discussed in this section.

Wafer-to-wafer bonding

In the 1960s, the application of wafer-to-wafer bonding technology was introduced. In the beginning, wafer-to-wafer bonding application was applied for packaging pressure sen-sors that performed at low temperature (less than 450oC). The advantage of the process is common IC metal (e.g. Al) which is not degraded during the bonding. In general, the wafer-bonding process consists of three basic steps, namely surface preparation, contacting, and annealing.

There are three kinds of wafer-to-wafer bonding: direct bonding, anodic bonding and bonding with an intermediate layer [38]. Bonding process in direct bonds is wafer con-tacted directly without any assistant. The result depends on the surface (roughness and flat) and needs post treatment by thermal cycling to increase bond strength. Second type of wafer-to-wafer bonding is anodic bonding. Electric field is used to assist the bonding at the temperature range of 300 to 450oC. The bond is performed in interlayer between a sodium-baring glass wafer and a silicon wafer. Intermediate layer is required to promote bonding between the wafers. Some intermediate layer materials are for example eutectic bonds, polymers, solders, and thermocompression bonds.

Wafer-level packaging

Wafer-Level Packaging (WLP) is packaging technique which is applied prior to dicing [39]. In this technique, the integrated circuit or MEMS structure is still part of the wafer during dicing. In some cases, after fabrication, the integrated circuit or MEMS can not be moulded with plastics directly so it needs an extra packaging process. This makes production expen-sive, and sometimes the MEMS structure can not be tested in the wafer directly.

WLP can solve the problem by encapsulating the wafer before the whole wafer is diced into single structure chip. In addition, the advantages of the technique are that the MEMS are sealed and protected from mechanical hazards and environmental contamination early in the process. Based on the bonding technique used, WLP can consist of interfacial bonding, intermediate melting materials and deposition sealing. Figure 2.4 shows the process of wafer-level packaging.

A new approach to wafer-level packaging of silicon die and laser bonding was reported by Brettschneider et.al. [40]. This technique applies standard laser welding by introducing a flexible thin hot melt foil of 40 µm as an intermediate layer which enables laser bonding of materials with different melting temperatures. The result of this packaging is a package that is mold into a polymer multi-layer stack for the integration of a silicon biosensor into

(28)

Wafer

MEMs

Structure

Glass

Single chip

Bonding

Dicing

Figure 2.4: A schematic diagram of the wafer-level packaging process

an electrical or microfluidic interface.

System on a chip

System on a chip (SoC) is the packaging where all the electronic system and other platforms of a device is integrated on single chip. It may contain digital, analogue, mixed-signal, and often radio-frequency functions on a single chip substrate.

The interesting issue in packaging Micro Electronic Mechanical Systems (MEMS) is the reduction of noise and power consumed by the device. For highly sensitive sensor, SoC is an approach to enhance the accuracy and reliability [41]. Nowadays in a SoC, systems can be integrated such as MEMS sensors, interfacing, processing and communication modules. However, some parameters must be considered in the design and development of a SoC: the silicon complexity (reliability, power, clock, interconnect), and the system complexity (logic, memories, RF, Field Programmable Gate Arrays (FPGAs), MEMS)[42].

System in package

Greater complexity and higher level integration in microelectronic systems requires ad-vances in packaging technology. System-in-Package (SiP) is becoming the solution solving the challenges by integrating several system into one system. Figure 2.5 shows the integra-tion system in package technology.

The technological concept is the integration of multiple components including a die, a combination of wire bond, variation of die configuration, and a combination of several systems. Package in Package (PiP) and Package on Package (PoP) to integrate very complex systems also fall under this technique.

The architecture of the device such as the interaction between various components, vari-ation in die stacking,the 3D nature of wire bonds and signaling are challenges in SiP tech-nology. Other challenges are design and validation, including creating a high performance-low-cost package, standardisation of package and die if these are from different companies

(29)

2.3 Micromachining 19 U User Logic Analog IP Memory Digital IP System in Package

SiP

Figure 2.5: System in Package (SiP) as an integration system in packaging technology

and the complexity (multi-chip package, intra-die bond wire coupling and coupling between packages)

Since the integration aspect is the main issue in SiP and SoC and to realise the technique becomes problem solving in packaging, the semiconductor industry must have a master plan and good standards so that the die, component, and package can be integrated with each other. Then, finally, a high performance-integrated package can be developed.

2.3

Micromachining

There are two types of micromachining, which are based on the target of interest. The first method is bulk micromachining, in which part of the substrate is removed. The method is commonly used to make a membrane or freestanding structure by etching from the back-side to create a membrane or etching substrate material underneath the structure to make it freestanding. The second method is depositing a thin film on the substrate followed by patterning the layer. This technique is called surface micromachining.

Ho and Yai reported that in sensor and actuator applications, the advantage of microma-chining compared to conventional mamicroma-chining methods is that it over several unique features [43]:

• Increasing the frequency response

By using the technique in fabrication of sensors and actuators, the size of the sensors and actuators can be reduced. It might imply a substantial increase in the frequency response, which can decrease the response time of the sensors.

• High-quality surface

(30)

be determined so that a smooth contour and low surface stress can be achieved using this technique. The properties are important to optical based devices.

• Integrated device

The micromachining fabrication results are designed to be compatible with integrated circuit (IC) fabrication or a microfluidic platform. Even though they are not com-pletely compatible with IC fabrication, if this technique is used an integrated micro-electronics system can be designed and developed which will have high compatibility when integrated with other platforms or parts.

2.3.1

Bulk micromachining

Bulk micromachining is commonly used to make structures that are required to move phys-ically by removing a significant amount of substrate. The technique is widely employed to form membranes, suspended structures, trenches, holes or similar other structures in the ap-plication of sensors and actuators. In addition, the technique is also compatible with CMOS circuits [35]. Wet and dry etching can be applied in this technique to etch part of the Si substrate.

In bulk micromachining, both types of etching, wet and dry etching, can be used to etch Si substrate. The etching process and results are discussed in more detail in Subsection 2.2.4. In this section, we focus specifically on wet and dry etching of silicon.

A common wet etching solution for etching Si substrate is a mixture of hydrofluoric acid (HF), nitric acid (HNO3), and acetic acid (CH3COOH). HF contributes to etching by

forming the soluble silicon compound (H2SiF6), where as HNO3drives Si oxidation and

CH3COOH helps prevent the dissociation of HNO3into NO3−or NO2−.

The etch rate of the etching process depends on the chemical mixture used and silicon doping. Interestingly, with light doping (< 1017 cm−3 n- or p-type)) the etch rate slows

down 150 times. Dry etching can also be used in bulk micromachining. Due to anisotropic etching type, by using the dry etching can be produced high precision and can develop narrow structure as can be seen in Figure 2.6. The figure shows an example of a structure which is fabricated by bulk micromachining using DRIE trench etching.

2.3.2

Surface micromachining

Surface micromachining is defined as the fabrication of micro-scale structures from de-posited thin films. In the 1980’s, the thin-film deposition techniques were improved, as well as the understanding of thin-film properties, and surface micromachining was employed to pattern thin-film material of integrated circuits (IC) and some integration with metal oxide semiconductors (MOS)[25]. In addition, in this period, researchers demonstrated microme-chanical and electrostatic micromotors based on polycrystalline surface micromachining. This development was continued in the 1990s by the development of fully integrated com-plex Micro Electro Mechanical Systems (MEMS) in which sensors, actuators, and control functions were fabricated in silicon using micromachining and IC processing.

Surface micromachining consists of sacrificial layer deposition, structural thin-film de-position followed by patterning of the structure using lithography. Finally, the sacrificial layer is removed. Polysilicon is commonly used as a microstructure material as a functional

(31)

2.4 Thin-film deposition 21

Figure 2.6: SEM images of bulk micromachining using DRIE trench etching with slightly negative sidewall angle [44]

device layer and silicon dioxide as a sacrificial layer [45]. The key processing steps of this approach are (i) deposition and patterning of the sacrificial layer on the substrate, (ii) depo-sition and definition of the structural layer and (iii) removal of the sacrificial layer by lateral etching.

Surface micromachining had already been used for fabrication of devices such as the free-standing gold microbridge used as a resonant gate transistor of Nathason in 1967 [46], micromotors [47], an X-ray microcalorimeter [48], etc. Figure 2.7 shows an example of result of surface micromachining fabrication.

2.4

Thin-film deposition

In general, thin-film growth during the deposition process from precursors until thin-film formation involves several steps [50],[51]:

• Adsorption

The first step of thin-film growth is atoms impacting the substrate, which are then physically adsorbed by the substrate surface.

• Inter-atomic reaction and nucleation

After adsorption the atoms interact to form bigger clusters or nuclei. The clusters or nuclei are thermodynamically unstable. In this step, the phenomenon can be ap-proached using the Gibbs energy (∆G). The formation of Gibbs energy can be di-vided into surface free energy (interface between solid and atomic gas) and secondly the Gibbs energy to transfer the gas into the bulk solid phase. The cluster becomes thermodynamically stable after the precursors atoms are in a supersaturated condi-tion with respect to the solid phase and reach the maximum particle size. This step

(32)

Figure 2.7: SEM images of beam suspension of polysilicon deposited by LPCVD and fabri-cated using surface micromachining [49]

consists of the formation of stable, chemisorbed, critical-size nuclei and is called the

nucleation stage.

• Crystal growth

The nuclei growth reaches the saturation condition. In this condition, the number as well as the size of the nuclei becomes stagnant. The nucleus can grow parallel to the surface. This growth is called islands.

• Coalescence and continuous film growth

The next step is the coalescence stage. The small islands start to coalesce. The resulting larger islands grow together to form a continuous film.

For thin-film deposition many different technologies may be used, depending on the material and the desired properties of the film such as thermal oxide growth (direct oxidation of the silicon), chemical vapour deposition (CVD) and physical vapour deposition (PVD). Common films can be produced by methods including insulators (silicon dioxide, silicon nitride, phosphorous-doped glass, etc.), conductors (aluminium, copper, tungsten, titanium) and semiconductors (silicon). PVD and CVD are the main methods for thin-film deposition which are described in this section. Some factors that distinguish PVD from CVD are [52]:

• Reliance on solid or molten sources

• Physical mechanisms (evaporation or collisional impact) by which source atoms enter

the gas phase

• Reduced pressure environment through which the gaseous species are transported • General absence of chemical reactions in the gas phase and at the substrate surface

(33)

2.4 Thin-film deposition 23

2.4.1

Chemical vapour deposition

In 1962, Miller and Grieco reported CVD for growing a germanium-silicon epitaxial film [53]. The theory of CVD is based on chemical kinetics, fluid mechanics, chemical engineer-ing and growth mechanisms [54]. The technique uses gas compounds (precursors) as source of the deposited thin film. Figure 2.8 shows the general construction of CVD. Atomic layer deposition (ALD) is a modification of CVD by introducing precursors sequentially. It will be discussed separately in Section 2.5.

The precursors are activated to decompose the compound into atoms or molecules. The deposition technique is carried out in vacuum with the standard vacuum chamber less than 1 x 10−6torr (1.3 x 10−4Pa).

The deposition process is a chemical process in which an atomically volatile compound and other gases are deposited to produce a thin-film (non-volatile solid) on a substrate sur-face. CVD is able to produce a high-quality thin film. Consequently the method is widely used for fabricating such diverse applications as cutting tools, ball bearings and part of rocket engines and nuclear reactors. In addition, due to its capability to deposit a high-quality epitaxial (single-crystal) film, the method is also much applied in the fabrication of solid-state electronic devices [52]. There are different types of CVD depending on the methods of activation (decomposition), such as thermal CVD, Microwave Plasma Chemical Vapour Deposition (MPCVD), Radio Frequency Chemical Vapour Deposition (RFCVD) etcetera.

Figure 2.8: Schematic process of chemical vapour deposition (CVD)

2.4.2

Physical vapour deposition

Physical vapour deposition (PVD) is a thin-film deposition technique to deposit a thin film by bombarding a target (material of thin film) using plasma. The objective of this technique is to deposit a thin film from a target material to a substrate surface by controlling the trans-fer of atoms to substrate, then a thin film grows atomically. The technique is divided into sputtering and evaporation [50].

(34)

Sputtering

Sputtering creates a thin film by sputtering material from the target through the impact of gaseous ion. The position of the target is above the substrate and after ion bombardment the atoms are sputtered and deposited on the substrate surface. This technique can be divided into four categories, namely direct current (DC), radio frequency (RF), magnetron, and reactive sputtering. However, other variants created by modifying or combining the methods such as DC bias and reactive RF are important in this technique.

A variety of thin film materials has been deposited by sputtering on substrates such as titanium dioxide (TiO2) [55–57], tungsten trioxide (WO3) [58], zinc oxide (ZnO) [59]

etcetera.

Evaporation

In the evaporation technique, the deposited thin film materials are from molten metal or ce-ramic by evaporating them using a thermal, ion beam or other technique. The classification of the techniques are based on applied energy during the process.

Direct evaporation has been used to deposit tellurium alloy Sb2Te3[60]. Cathodic arc

evaporation and its modification by magnetron sputtering were used to deposit Ti-Al and (Ti, Mg)N [61, 62]. Another modification of cathodic arc evaporation is bias voltage which was reported by Chang et.al. [63]. They discuss the effect of bias on microstructure, me-chanical properties and wear resistance of Al-Si-N. The results show that the bias affects the microstructure, adhesion strength and friction of thin films. Reinhold and Jaber reported on technology of and prospects for industrial application of Large Area Electron Beam Phys-ical Vapor Deposition (EB-PVD) and Plasma Activated Electron Beam (EB) evaporation [64].

(a) (b)

Figure 2.9: Schematics process of physical vapour deposition (PVD) methods (a) Sputtering and (b) Evaporation

(35)

2.5 Atomic layer deposition 25

2.5

Atomic layer deposition

Atomic layer deposition (ALD) is a deposition technique that can produce a smooth sur-face, conformal, and homogenous structures. The technique was first patented by Suntola (1977) and called Atomic Layer Epitaxy (ALE). The technology is actually a modification of CVD; the method was changed such that precursors are introduced in sequence. It is able to down-scale thickness to obtain the film layer by layer. The development of ALD was in-spired by IC processing of semiconductors that requires a high-quality thin film with highly precise thickness. The technique is recognised by the International Technology Roadmap for Semiconductors (ITRS) as a method to deposit high-quality material. The method de-posits layer by layer through precursors, which are introduced into the chamber to obtain an atomic-layer- level thin film. ALD is also excellent technique to deposit high-quality thin films at nano-layer level. The technique offers high opportunities to improve technology in the field of microelectronics.

This section describes the basic characteristics of ALD, precursors/reactants and the basic concepts related to the surface chemistry of ALD. This description includes physico-chemical requirements for self-terminating reactions, reaction kinetics, typical chemisorp-tion mechanisms, saturachemisorp-tion factors , reasons for growth of less than a monolayer per cycle, the effect of temperature and number of cycles on the growth per cycle (GPC), and the growth mode.

2.5.1

Surface chemistry of ALD

An extensive review of the ALD technique and surface reaction during ALD has been pro-vided in previous papers [65, 66]. The following explanation of the surface chemistry theory is from Puruunen’s report [66]. He states that the reaction of ALD during deposition time is based on binary sequences. The process normally use two reactants (precursors) that create a surface reaction to deposit a binary compound film. In general, the reaction of reactant and surface is reversible.

|| ∗ +A(g) −→ra|| ∗ A (2.8)

|| ∗ A −→rd || ∗ +A(g) (2.9)

k∗ is surface site and A is molecular adsorption gaseous compound A. The amount of

molecules A that are absorbed by the surface per unit time is called the adsorption rate (ra) and the amount of desorption of molecules per unit time is called desorption rate

(rd). The maximum amount of adsorbed material which can cover the surface by assuming

that the material does not interact with each other is the chemisorption coverage (Q). The chemisorption coverage rate can be determined by subtracting the desorption rate from the adsorption rate as follows:

dQ

dt = ra− rt= kap(1 − Q) − kdQ (2.10) kais the adsorption rate constant and kd is the desorption rate constant. Partial pressure

(36)

rate ((rd)) equals kdQ. If the process reaches the saturation condition, the chemisorption is

constant (dQ/dt = 0). From Equation 2.10 the equilibrium condition can be determined as a function of partial pressure

Qeq= kap kap+ kd = 1 1+ (Kp)−1 (2.11)

Kp(ka/kd) is defined as the equilibrium constant of the adsorption process. From Equation

2.11 it can be concluded that if there is reversible (ka6= 0 6= kd) equilibrium chemisorption

coverage, the partial pressure influences the coverage (Qeq). However, in the case of ALD,

the reaction must be irreversible, which can be obtained when the K is infinity or kd is

close to zero. The chemisorption coverage is not affected by partial pressure. For this case Equation 2.11 can be written as

lim

K→∞Qeq= 1 (2.12)

The second parameter in adsorption is time. By assuming that pressure and temperature are constant, we can integrate Equation 2.10 as a function of time as follows:

Q= Qeq(1 − e−(kap+kd)t) (2.13)

In the case of ALD, where chemisorption is irreversible, by assuming Qeq= 1 and kd=

0, Equation 2.13 can be rewritten into Q= (1 − e−(kapt). The time dependency of coverage

Q and its effect on the amount deposited are described in Figure 2.10(a) and 2.10(b). There are two gases that are introduced to the ALD reactor during thin-film deposition: the reactant and the gas carrier. The ALD process depends on the sequence of these gases. One cycle of ALD that consists of four steps in the following [66]:

• First reactant: a self-termination reaction of the first reactant (Reactant A) followed

by a purge or evacuation to remove the nonreacted reactant and the gaseous reaction by-products.

• Second reactant: a self-termination reaction of the second reactant (Reactant B) or

another treatment to activate the surface again for the reaction of the first reactant followed by a purge or evacuation.

One cycle of the ALD thin-film process is shown step-by-step in Figure 2.11. The thick-ness of a thin film depends on the number of cycles. Due to the self-termination reaction, Puurunen also concluded that ALD is a surface-controlled process, which means that an-other parameter such as reactant, substrate and temperature have a little or no influence.

It was mentioned earlier that the initial step of crystal growth is nucleation. In ALD, nu-cleation is very important to create thin film with high density, uniformity and conformality. Lack in nucleation will cause at low density and will become a serious problem if the de-vice needs a high dielectric constant gate oxide (e.g in MOSFETs)[65]. After nucleation, the next step is crystal growth, coalescence (islands) then continuous structures.

Since ALD is based on a self-terminating gas solid reaction, it is interesting to discuss the reaction between the adsorbing molecules/atoms (”adsorptive”) and solid surface (”ad-sorbent”) on the surface substrate during growing of the thin film. The reaction can be

(37)

2.5 Atomic layer deposition 27 1 0 1 0

C

o

v

e

ra

g

e

,

Q

Time, t

Reactant B Reactant A Reaction cycle #1 #2 #3 #4 (a)

A

mo

u

n

t D

e

p

o

si

te

d

,

C

M

Time, t

(b)

Figure 2.10: (a) Chemisorption coverage as function of time and (b) amount of atom M absorbed as function of time

divided into two types: physisorption (physical adsorption) and chemisorption (chemical adsorption)[66, 67]. Physisorption is adsorption in which the force involved is an inter-molecular force (van der Waals forces). It does not involve a significant change in electronic orbital patterns. Chemisorption, on the other hand, involves valence forces in the formation of a chemical compound. Therefore this type requires activation energy for adsorption (i.e activated adsorption) to start the reaction. Chemical bonds resulting from chemisorption are stronger than those from physisorption. Due to chemical bonding between adsorbing molecules and the surface in chemisorption only one layer (monolayer) can be accepted by the surface [67]. Figure 2.12 shows several types of adsorption material related to the saturated condition as a function of time.

In self-terminating reactions, the adsorbed material cannot be desorbed from the surface during purge or evacuation, see Figure 2.12(a). The thin film grows using the chemisorp-tion type of reacchemisorp-tion. In ALD, the types of adsorpchemisorp-tion are limited to irreversible adsorpchemisorp-tion

(38)

.

1

st

Step: Reactant A

2

nd

Step: Purge

3

rd

Step: Reactant B

4

th

Step: Purge

Substrate material

(Reactant B)

(Reactant A)

Figure 2.11: A sequence process in ALD using AB reactant [65] . The first step introduces reactant A, followed by removal of excess reactant by purging using a carrier gas. The second step is similar to this previous step. Reactant B is channeled into reactor chamber, followed by removal of excess reactant B by a carrier gas.

which is a chemisorption reaction. Physisorption is always reversible (Figure 2.12(b)) where as chemisorption can be both reversible and irreversible (Figure 2.12(c)). The irreversible nonsaturating adsorption of the deposition process can be seen in Figure 2.12(d). Figure 2.12(e) shows irreversible saturating adsorption that is not allowed to saturate by stopping the supply of reactant and starting a purge. In ALD thin film deposition, irreversible ad-sorption is not sufficient to grow a thin film. The irreversibility can also be continuous and non-saturating. Finally to run the complete process of self-terminating deposition, the saturating reaction must be allowed to terminate.

A range of materials can be deposited using ALD such as Al2O3, TiO2, TiN, BN, AlN,

Si3N4, GaAs etcetera [66]. In this study, TiO2deposited with ALD is used as the waveguide

core material. In order to deposit TiO2, some reactants can be used, such as TiCl4/H2O [68–

76], Ti(i-CH3H7O)4/H2O [77], and TiI4/H2O [78, 79]. As explained previously, ALD is a

modification of the CVD technique, so the reaction in ALD can be determined from the CVD reaction by dividing it into two separate self-limiting half reactions [80]. The reaction of TiCl4and H2O can be written into two half reactions:

(39)

2.5 Atomic layer deposition 29 A mo u n t a d so rb e d Time, t

The end of reactant supply and the starting of purge Time, t A mo u n t a d so rb e d (a) (b) Time, t A mo u n t a d so rb e d A mo u n t a d so rb e d Time, t (c) (d) A mo u n t a d so rb e d Time, t (e)

Figure 2.12: Material adsorption as function of time (a) irreversible saturating adsorption (i.e., self-terminating reaction) (b) reversible saturating adsorption (c) com-bination between irreversible and reversible saturating adsorption (d) irre-versible nonsaturating adsorption (deposition), and (e) irreirre-versible saturating adsorption not allowed to saturate [66]

TiOH+ TiCl4→ Ti − O − TiCl∗3+ HCl (2.14)

Ti− O − TiCl3+ 2H2O→ TiO2+ TiOH+ 3HCl (2.15)

(∗) indicates a surface species. By sequencing the process of the reaction, the thin film of TiO2is grown. However, the reaction can also be written in one simple reaction as TiCl4+

(40)

2.5.2

ALD thin-film growth

The ALD thin film growth mode is defined as the mode of thin film material growth during ALD deposition. There are three common modes of the thin-film growth: two-dimensional growth, island growth and random growth [66]. The two-dimensional mode (also called

linear growth) is layer-by-layer growth (monolayer) that covers the substrate surface. In the

island growth mode the deposit material does not grow on surface of the substrate but in previously deposited material. The random mode occurs when the new materials have an equal probability to react with the substrate surface. In realty, however, a combination of modes may occur during ALD deposition.

After some time the growth is stagnant and this is called saturation. It causes the satu-ration process (i.e steric hindrance of the ligands and the number of reactive surface site). First, steric hindrance is defined as the blocking access of reactive sites. The second one is limited reactive surface site although the surface space is still available.

There are some factors that influence the growth of ALD thin film. Temperature has an effect on the growth rate. Kumagai et.al. [82] reported that with deposition of TiO2

using reactant TiCl4/H2O2 the growth rate increases as substrate temperature till 340oC.

In the temperature range 340oC to 490oC the growth rate is stable (0.65 ˚A/cycle). They also reported that if it is higher than 490oC the growth rate will decrease. The decrease

of the growth rate is caused by the reaction of TiCl4with surface functional groups silane

(≡Si−OH) might be suppressed at high temperature. Several response types of the growth per cycle (GPC) on the increasing temperature namely are as follows[66]:

• Decreasing by increasing temperature

This occurs if the increasing temperature decreases the reactive surface It may also be caused by etching at a higher temperature[83, 84]

• Constant by increasing temperature (or at range temperature). The amount of reactive

site does not affect the amount of adsorbed species[85]

• Increasing by increasing temperature

At a higher temperature, some energy barrier may be overcome that causes reaction [86]

• Increasing followed by decreasing

It is caused some reaction activated during increasing temperature then decreasing reactive sites and starts decreasing GPC[87]. In addition the higher temperature the material can pyrolyse for example Al(CH3)3can deposit Al2O3. However this method

cannot be categorised as atomic layer deposition because uncontrolled in atomic layer and the result is non-conformal film growth.

The number of cycles also affects the GPC. Generally the PGC will become constant after a sufficient number of cycles of ALD. Somehow, in the beginning cycle it can be classified into steady from first cycle, decrease then stable, increase then stable, and increase to the peak then decrease and stable[88–90].

(41)

2.5 Atomic layer deposition 31

2.5.3

The comparison of ALD to other thin-film deposition methods

The main strength of ALD is its ability to control film thickness to atomic level. Because the film is deposited layer-by-layer deposition and digital control of the precursors flow rate is used, the process has excellent repeatability to deposit the same thickness. The method is able to deposit a very large area with pinhole-free thin films having high uniformity and low defect density. In addition, the process of this method is very wide process windows, so the process is compatible with low temperature and it can also produce amorphous or crystalline structures depending on the substrate and temperature used. Since the first layer has molecular self-assembly and chemical bonds thin-film CVD results in low stress and excellent adhesion.

The disadvantages of ALD are a very low deposition rate due to layer-by-layer deposi-tion at atomic level. However, now there are methods that can deposit a thicker film in less time by processing the wafer in parallel position in a batch furnace [91]. For industrial ap-plications this economical technique has already been published by Raiche et.al [92]. Table 2.1 shows the advantages and the disadvantages of thin-film deposition methods.

Cytaty

Powiązane dokumenty

Moving the assembly process closer to the customer, is especially interesting for components with a high value density that are assembled into final products with a low value

a temperature sensor, a bandgap voltage reference, and an analog to digital converter on one single chip. The interface to an external microcontroller is 8 b

Wielkość ta nie jest stała, zaleŜy bowiem od wysokości dźwięków oraz ich natęŜenia i czasu trwania.. Okazuje się, Ŝe przy stopniowym skracaniu czasu trwania

5) ustaleń miejscowego planu zagospodarowania przestrzennego lub decyzji o wa- runkach zabudowy i zagospodarowania terenu. Deweloper, który jest właścicielem gruntu lub

Działa poprzez receptory błonowe, obniżając stężenie wapnia zjonizo- wanego we krwi wskutek zmniejszenia jego wydzielania z tkanki kostnej oraz zwiększenie wydalania

de déplacement des auteurs dans l’espace et identité littéraire, en prenant comme point de repère l’œuvre de Patrick Chamoiseau, écrivain antillais contemporain, dans

Je noch Uualitdt Beschichtung eines Bewebes sowie ouf lm'eines Gewebes

Om te kunnen nagaan welk kunststof weef sel kan worden toegepast om een bepaald zandpakket te beschermen, dient eerst van het zand de zeefkromme en de door- latendheid ( i ^ )