• Nie Znaleziono Wyników

A voltage contrast detector with double channel energy analyser in a scanning electron microscope

N/A
N/A
Protected

Academic year: 2021

Share "A voltage contrast detector with double channel energy analyser in a scanning electron microscope"

Copied!
131
0
0

Pełen tekst

(1)

TmmrmiEvR

st det

with double cB

(2)

electron-in«p[aqqn(l o rn

Xq

adoosojotui u o j - p a p Sutuueos e ui

jazXjeue XSjaua [auueqo 3[qnop qtuw j o j o a i a p ISBJ-JUOO SSVHOA V u o p c i a a s s i p s q i o-\ pappa

S3S3HL

STELLINGEN

h o r e n d bij het proefschrift

A voltage c o n t r a s t d e t e c t o r with double c h a n n e l e n e r g y a n a l y z e r in a

s c a n n i n g e l e c t r o n m i c r o s c o p e door

(3)

}aq ua§a} -jsa-jojd sjc sjapnoqduiod uaSa

-

} uajaoAai^OB

iaq s]B

SIUUIZUO

oz sua-jsutui si sarjBN apSmaaaA. ap

UBA

jeejauaS suB^ajoas ap

UBA

ua-na-jiAi-pB ap

JOOA

S u m o p q

SIB

ua^Bpjos

UBB

apajA ap

JOOA

sfudjaqoN ap

UBA

ua^iaa^in ^an

[ 9 8 6 1 IIBH ©onuaad

'jotABqaq

JBUOIIGZIUBSJO JO

sjBnuassa 'suiqqoy d'S]

u a p q j u o o UBA uaaa^UBq

l a y si uauipjjjooA }aq u s p ja>jfu9uB|ag isua.M.a§uo SJB jaaajat

U3A3 st ua^oqjuoo j a p u o z a^BsiuBSjo uaa

JBBU

uaAaj-js -jan

['1161 XuBduioo Smqsqqnd ptten°H m

d

°H

■BJBqsBg -j«fN 'uiBZZV 'V'W'H 'm§H pazuBjod 5? Xj^auiosdqig]

uaiBuippoo sauof a§i>iaoq-)qDaj 'jaJifiiaJimjqa»? jaaA

'ap }aui u s p puajjajaq uapjow ua-}Bumjqoo sauof

ajiemo.no

•jam aaSipnoAuaa uauunjf uauiaiqojd a q o s i i d o - o j - p a i a paA

•uajo-}Biosi-jadns

UBA paiqa§ -jaq do >jeejqjoop uaa eu d o o o s o j o t u i u a u o j ^ a i a

uaa UBA uaSunauijB aie^o-} ap UBA uauiai>uaA ^aq -\o-\ uapiaj

s s d uauun>f JnnjBjadiuai aqosrju^ a§oq ^aui sjapiajaSjadns

['6861 suisaq uo^oqd puB uoi 'uoj-joaja uo uinrsoduiXs

leuoiyeuja-mi pJBC "}SB^ j a p UBA a'M '

J

9PinW 'H 3]

ua>tBui d-\ jaifaus a ^ o o i S UBA sapjo a u p sauiqoeuj

azap j o o p uauio>jjooA uapjOM uauun>j }>ieBiua§ -}pjOM jajjaus

a u p

JOIOBJ

uaa sauiqoBuiaijej§oqiquauoJi>iaia ai-jB.iaua§

aSipmq ap jaauuBM

UBB-JSIUO

aip uauiajqojd a q o s i u u a q j ,

iqoBpuBB ap uazuaijanoafqo apuajassnooj SipnoAjaaui

uauaipjaA uaSuiuuedsjausjaA aja§B| UBA SJB uauiaisXsanosiap

s u a j - a p - j o o p

UBA J3MOZ

>unjqa§ uauioua§aoi -jaq uatzao

■jundjjjaM. •jaq UBA

ai-punj SJB ua-jaui a^ a-)UB}suoo-ja-}auioj-pads ap ümqaAaquee

uaipuaAoq -juaipjaA "}3H pujaouaS uapjow ^aioijdxa Tatu

aipaajqpuBq ap UBA ai^iuijap ap ua -jundjuajvv "jaq "juaiotijaoo

-aissiuia ajiBpuooas ap 'uinj-padsaiSjaua ajiepuooas

"jaq

UBA UJJOA

ap uaipui ja^auioj^oads ap

UBA

-jia^qBM^

ap

J3AO

§iuia.« japuozfiq i§az dooosojoiuiuauoJiJjapjaisBJ

uaa ui Jo^oa-}ap-js9J-}uoos§uiuueds uaa UBA Suqapjooaq ap fiq

T>jinjqaS ipjOM aip a-juB-jsuoo-jaiaujojioads ap UBA apjBBM arj

evaluate a voltage c o n t r a s t d e t e c t o r in a scanning electron

microscope does not c h a r a c t e r i z e a s p e c t r o m e t e r without

information about the form of the secondary energy s p e c t r u m ,

the secondary emission coefficient, the working point and

the applied definition of the bandwidth. Further, it is m o r e

informative to m e a s u r e t h e s p e c t r o m e t e r constant as a

function of the working point.

Due to t h e increasing use of both t h r o u g h - t h e - l e n s detection

and lower acceleration voltages multiple focusing objective

lenses gain importance.

Thermal problems t h a t arise when t h e c u r r e n t g e n e r a t i o n

electron lithography machines are made faster by a factor of

three c a n be avoided when t h e s e machines are made faster

by t h r e e orders of magnitude.

[E.H. Mulder. K.D. van der Mast. 33rd International

symposium on electron, ion a n d photon beams 1989.]

Superconductors with high critical t e m p e r a t u r e can lead to a

drastic d e c r e a s e of t h e overall dimensions of t h e e l e c t r o n

microscope only after a b r e a k t h r o u g h in the field of s u p e r

-insulators.

Many e l e c t r o - o p t i c a l problems are easier to solve with

circular Jones m a t r i c e s than with the more commonly used

Cartesian Jones m a t r i c e s .

[Ellipsometry & polarized light, R.M.A. Azzam, N.M. Bashara,

North Holland Publishing Company 1977.]

Aiming for an organization without conflicts is n e i t h e r

realistic nor desirable. It is m o r e i m p o r t a n t to deal with

conflicts t h a n to avoid them.

[S.P. Robbins. Essentials of organizational behavior,

Prentice Hall 1988.]

Encouraging the activities of t h e United Nations S e c r e t a r y

-General by awarding the Nobel Price for peace to soldiers

does n o t m a k e more sense t h a n denouncing Shell's a p a r t h e i d

support by molesting t h a t company's employees.

(4)

- '

A voltage contrast detector

with double channel energy analyzer

(5)

with double channel energy analyzer

in a scanning electron microscope

Proefschrift

ter verkrijging van de graad van doctor aan de Technische Universiteit Delft,

op gezag van de Rector Magnificus Prof. Drs. P.A. Schenck, in het openbaar te verdedigen ten overstaan van een commissie

aangewezen door het College van Dekanen op 2 november 1989 te 14.00 uur

door Luc Dubbeldam

geboren te Arnhem, Elektrotechnisch Ingenieur

Delftse Universitaire Pers 1989

(6)

Dit proefschrift is goedgekeurd door de promotor Prof. Dr. Ir. K.D. van der Mast

Dr. Ir. P. Kruit heeft als toegevoegd promotor in hoge mate bijgedragen aan het totstandkomen van het proefschrift

CIP-gegevens Koninklijke Bibliotheek. Den Haag ISBN 90-6275-568-2

NUGI 841 Copyright ©

No part of this book may be reproduced in any form by print,

photoprint, microfilm or any other means, without written permission from Delft University Press

(7)

Introduction 7

1 Overview of IC-test techniques 9

Introduction 9 Techniques with secondary electrons 11

Voltage contrast 11 Feedback mode 12 Stroboscopic measurements 13

Local field effect 15 Transit time effect 16 Voltage contrast isolation 16

Passivated devices 17 Auger voltage contrast 18 Short/open testing 18 Electro-optical measurements 19 Electron beam and optical beam induced current 20

Reconfiguration and mask repair 22

Metrology 24 Conclusions 24

2 Secondary electrons 26 introduction 26 Secondary electron emission 27

The emission process 27 Angular distribution 30 Energy distribution 3 3 Surface potential and work function 36

Voltage contrast detection 38 Acceptance diagrams 38 Voltage measurements 39 Spectrometer constant and signal-to-noise ratio for

voltage measurements 45 Signal-to-noise ratio of the double channel analyzer 47

Time resolution 54 Conclusions 54

(8)

Contents

3 Voltage contrast detectors 57

Introduction 57 Overview of voltage contrast detectors 58

Conclusions 65 4 The design of the double channel spectrometer 68

Introduction 69 Description of the column 71

Primary system 72 Single focus mode 73

Double focus mode 74 Scanning coils 75 Magnetic parallelizer 78 The spectrometer 82

Trochoidal motion analyzers 82 The dispersive trochoidal motion analyzer 82

Double channel retarding field analyzer 87

Energy resolution 88 Acknowledgement 91 Conclusions 91 5 Measurements 93

Introduction 93 The scanning system 94

S-curves on both detectors 95 SE-spectrum on lower detector 96 Voltage contrast on both detectors 97

Closed feedback loop 98 Signal-to-noise ratio for voltage measurements 100

Voltage contrast isolation 104

Conclusions 106 Conclusions 107 References 110 Summary 122 Samenvatting 124 Acknowledgement 126 On the author 127 List of symbols 129 6

(9)

The field of research of integrated circuit technology is characterized by fast developments. The trends of shrinking feature sizes, increasing chip sizes, decreasing supply voltages and decreasing switching times are mentioned in many publications. This has direct consequences for the techniques with which the devices can be tested. Due to the increasing pin-to-gate ratio, the chip must be accessed by internal test nodes. Since metal probes are too large and too slow to position the electron microscope has gained importance as electron beam tester. It has proved to be an ideal instrument for the measurement of high-frequency waveforms on internal measurement points. Thanks to new developments in electron optics and thanks to the availability of more powerful computers the quality of the electron beam testers has been able to keep pace with the growing demands during the last years.

In this thesis our ideas for the improvement of the heart of the electron beam tester, the electron energy spectrometer, are presented. For the orientation in the field of chip testing we will start with a short review of the most important test-techniques. From there on we will direct our attention to the voltage measurements in the electron microscope. Due to the mentioned trends in the integrated circuit technology, the voltage measurements must be performed faster, which means that the secondary electrons available for the measurement become scarce so they should be handled with care. The mission of the electron optical designer is two-fold: the first task is to create as many secondary electrons as possible and the second is to get all possible information out of them. In chapter 2 the physical background of the secondary electron emission and voltage contrast detectors is discussed. There we will also present our

(10)

Introduction

ideas about how to improve the signal-to-noise ratio in voltage measurements. Chapter 3 shows the progress in the field. Without any exaggeration we can talk about a real metamorphosis of the voltage contrast detector which has taken place in the last few years. In chapter 4 the design of our spectrometer is presented. The new features are the

through-the-lens detection system with a variable axis immersion lens and a double channel energy analyzer. In chapter 5 the measurements will be presented. Throughout the thesis reference is made to literature as listed at the end.

(11)

OVERVIEW OF IC-TEST TECHNIQUES

Introduction

The electron microscope is an important tool in the laboratory of designers of integrated circuits. During and after the process steps electron optical equipment is needed to test the chip or the successive process results. The electron microscope is important because it has a high spatial resolution and a probe that can be scanned fast and easily over the specimen without intervening the device, provided that it is used in the correct mode.

We should distinguish here between the test of a prototype and the test of an integrated circuit in a production environment. The test in the design phase should not only answer the question whether or not he chip is working, but also what it is doing and why. The test-system should be easy to use for chip designers, rather than for microscope operators. To shorten the total design process it is useful when small changes can be made on the prototype. It depends on the situation whether or not it is practical to have two separate machines, one for the testing and one for the micromachining. It might be useful in some cases if little changes can be made in situ, so the effect of this changes can be evaluated immediately. Although the microscope's analytical capabilities are invaluable for the designers, these techniques are omitted here. An overview of electron, ion, x-ray and optical probe characterization techniques for process diagnostics in the semiconductor industry has been published by Shaffner [Shaffner 1986].

The task of the tester on the production site is principally different. The production process should be evaluated first. For this purpose small test

(12)

Overview of IC-test techniques

structures are added to the chip-layout from which characteristic parameters can be extracted. Complete test vehicles, chips with 300 of such structures, can characterize a MOS process completely [Swaving 1988], With those chips tens of parameters like sheet-resistance, gate-oxide thickness, threshold voltages and line-spacings can be measured. These data can be interpreted by an expert system to determine if a process runs well and, if not, to make a diagnosis [Linholm 1988]. We will not go into the subject of process-characterization in detail. Reference is made to conferences dedicated to the subject of test-structures e.g. the IEEE conference on micro-electronic test structures.

Only after there is some indication that the process has passed successfully, the chip can be tested. The designer can not leave the question of how to test the circuit to the production engineer. The chips should be designed for testability, which means that the circuit has

internal test points located at strategic sites on which the critical signals can be measured. Signals running only on interconnections in buried

layers must be brought to the surface by test nodes and if possible these nodes must be large (3 urn) and shielded from their environment by shielding electrodes [Wolfgang 1987, Herrmann 1987].

Some designers switch over to self testing circuits with the penalty of a 100 or even 200 '/. redundancy. These techniques are also beyond the scope of this thesis. For fault simulation and self testing schemes reference is made to the literature [Caisso 1988, Darlay 1988. Nicolaidis 1988].

In this chapter several electron and light-optical test techniques for the testing of Integrated circuits will be reviewed. In the next section the most important test modes of electron beam testers that make use of secondary electrons are described. That section is followed by a description of testing by measuring the electron and optical beam induced current. These techniques, based upon the measurements of secondary electrons and of the induced current are important because of their general applicability. Later sections describe other techniques, that are devoted to special applications.

(13)

Techniques with secondary electrons

Voltage contrast

When electric fields are present above the specimen in the scanning electron microscope voltage contrast appears. The parts with a positive potential pull the secondary electrons back and the electric field can direct the electrons to or away from the detector. In general, material on a positive potential appears dark and material on a negative potential appears bright on the monitor of the microscope, together with the topographic information. This mode, in which a large part of the device under test can be monitored is an important start for other measurements. Visual inspection of the microscope's monitor will lead to the location of open interconnections, when for example an aluminum track shows up in two different brightness-values, or evident errors as pieces of material over some separated lines.

The scanning mode is also used to find the navigation points on the chip. This can be certain parts of the chip itself [Görlich 1987a] or specially for this purpose designed structures [Brisegard 1987]. The scanning mode can be helpful for the positioning of metal probes [Ura 1989].

The measurement of potentials is not limited to the field of semiconductors. Acoustic waves traveling along the surface of a piezo-electric substrate cause surface potentials which can be visualized in the scanning microscope [Wittels 1979. Bahadur 1979].

In the scanning mode the time-domain is translated to the space-domain so the signals on the chip are displayed as a function of time [Lukianoff 1975]. The chip may or may not operate periodically and in some synchronism with the scanning system.

When the chip operates at a frequency that is a multiple integer of the line frequency, the signal on a track appears resolved in time on the horizontal axis. The signal is monitored completely when the track is from the left to the right on the screen. This technique is known as voltage coding and is useful when the signals on bus lines must be compared with each other. The frequency of operation should not be orders of magnitude higher than the line frequency.

(14)

Overview of IC-test techniques

Feedback mode

To obtain a quantitative measurement of the changing sample potential a feedback loop is used in combination with a retarding grid analyzer and the microscope works in the spot mode. The retarding grid transmits all electrons that have more energy than a certain limit. Since the beam stands stationary on the sample there is no topography information in the signal and if we assume that the secondary emission coefficient stays constant during the measurement the number of emitted electrons is constant. The number of electrons that are transmitted through the retarding grid depends only on the sample potential. If the potential of the retarding grid is imbedded in a feedback loop that keeps the secondary signal constant, the retarding grid potential will follow the sample potential.

In a good feedback system the retarding grid potential can follow the sample potential in the total voltage range of the device under test, which can be 20 V or higher. Measurements have been published by Feuerbaum

IFeuerbaum 1983). | II n l > - - i l l : Transmitted secondary electrons HI kv Va Vg I I ' h o t o i n i i l t i -plier Vref

retarding grid potential

| ii ipie wil li potential Vs

Figure I. 1

Feedback loop for direct waveform measurements

There are several conditions for a good feedback system. When the potential of the retarding grid is changed during the voltage measurement this should not have any influence on the primary beam. In many systems, however, several electrodes are part of both the primary and the secondary system as

is the case in through-the-lens detection systems. A change in the potential of any electrode can result in a deflection of the primary beam. When the potential of the electrode in the final objective lens also follows the sample potential this will cause a considerable chromatic

(15)

aberration which can be corrected by magnetic coils. Any influence can be prevented by shielding the retarding grid from the primary beam.

S(roboscopic measurements

When the voltage changes with such high a frequency that not enough electrons are collected for a reasonable accuracy or when the signal gets

lost in the measurement response time, the measurement should be repeated a number of times in the stroboscopic mode. In this mode the detection system has a certain small time-window which can be set either by pulsing the detection unit or by pulsing the primary beam. When for example the waveform has a period of 10"7 s and it is sampled by pulses of 5 ns only 5'/. of the waveform is observed. When the time delay of the sampling pulse is changed the complete waveform is measured. This technique is illustrated in the figure below.

Periodic :igual

c

1

<J>

' 1 . . i i r e I signal 1

r~\

) 360 $ [Degi ees] i

\ n

2 Time [T] Figure 1.2 Stroboscopic observation of a periodic signal.

If the microscope operates in the scan mode, a voltage contrast picture appears that only contains information of one certain phase of the periodic cycle.

When the time delay of the pulse is changed in synchronism with the frame position of the beam the high-frequent signal appears resolved in time along the vertical axis.

The most common system for stroboscopic measurements is a pulsed electron probe in combination with a boxcar integrator. Electron optic chopping systems are reviewed by Menzel and by Fujioka and recently by lira [Menzel 1979, Fujioka 1983, Ura 1989], There are different methods of obtaining a

(16)

Overview of IC-test techniques

pulsed electron beam of which the method of deflecting the beam across an aperture is commonly used. Magnetic colls, traveling wave structures, reentry-cavity structures or plate capacitors can be used for the deflection. Repetition rates from 106 to 10' Hz and pulse durations of nanoseconds to picoseconds can be produced. A deflection blanker in combination with a buncher can make electron pulses of 0.2 ps at 1 GHz repetition rate.

A new type of deflection system has been presented by Thong iThong 1987]. He uses 100 deflection plates on the periphery of a circle. The beam is scanned in a circle and passes closely by each plate. The potential of each plate can be set individually and determines whether the beam is pulsed or not. This set-up can also be used to label the beam with a certain logic-state as used by Brust for logic state tracing (Brust 1985].

Waveform measurements at this high or even higher temporal resolution can also be achieved with a pulsed laser beam. When a laser pulse is focused on the substrate electrons are emitted that contain the same voltage information as secondary electrons. Marcus and Bokor have demonstrated this by measuring signals on transmission lines by photo-electron spectroscopy. Marcus reports electron pulses of 80 fs with a repetition rate of 117 MHz. Weiner used photo emission to measure waveforms on a gold stripe on GaAs. He used laser pulsed of 80 fs and reached a voltage sensitivity of 10 mV/VHz, but the temporal resolution was 5 ps [Weiner 1987], Bokor reports a temporal resolution of 40 ps and a sensitivity of 40 mV/v'Hz (Bokor 1986].

Since laser beams inherently suffer from a lower spatial resolution, the superior spatial resolution of electron beams should be combined with the temporal resolution of lasers. Laser beam induced electron pulses can be generated by focusing a laser on a thermionic gun [Bostanjoglo 1988]. This source can be used in the DC mode when the hairpin is heated sufficiently for continuous emission and in the stroboscopic mode when electron emission is stimulated by pulses.

For the generation of short electron pulses a laser beam can be focused on a metal layer that acts as a source. May describes a system in which light pulses of 1.5 ps and 2 mW are focused on the backside of a thin layer of gold evaporated on quartz. He reports a voltage sensitivity of 20 mV/v'Hz, a time resolution of 20 ps and a spatial resolution of 0.1 um (May 1987b]. Values of 3 mV/v'Hz combined with a temporal resolution of 5 ps are also reported [May 1987a, Chiu 1988],

(17)

The measurement result of the stroboscoplc mode and the scanning mode can be displayed simultaneously on the computer monitor, by which the user can see a micrograph of a certain measurement node together with an accurate waveform.

Special forms of stroboscopic measurements are frequency mapping, frequency tracing and logic state tracing. In frequency tracing the secondary signal is frequency analyzed and a frequency map of the device is obtained. When the primary beam is labeled with a certain frequency, i.e., it is chopped at that frequency with a duty-cycle of 50%, all parts of the chip carrying signals with that particular frequency can be found and visualized. According to the same principle, that primary beam can be labeled with a certain logic state, i.e. a sequence of logic signals, in order to find part of the chip carrying signals with the sought-for pattern [Brust 1985, 1986a. 1986b].

Local field effect

The electric fields that occur close to the surface of a working Integrated circuit cause severe problems in the practice of electron beam testers. Although the voltages occurring in chip are quite low, typically between 10 an -10 V, the corresponding electric fields are enormous, due to the very small dimensions. Two metal tracks with a voltage difference of 10 V, spaced 1 jim apart cause field strengths in the order of 107 V/m. When the signal is measured on a certain electrode cross-talk can occur from other electrodes. These local fields cause measurement errors as discussed by many authors [Fujioka 1981, Nakamae 1981, Menzel 1983a, Ura 1981],

The electric fields caused by the integrated circuit will have two different effects. The electric fields can form a potential barrier above the chip and some electrons do not have sufficient energy to cross this barrier. The effective surface potential of the specimen is then determined by the local fields. The number of electrons that can be detected does not only depend on one track and on the voltage of that track, but it also depends on the voltages of neighboring tracks. This effect is known as the

local field effect type 1. The electric fields can also change the direction of the electrons.. When the spectrometer does not collect all emitted electrons or when it is sensitive for the direction of the electrons this will result in an undesired signal. This effect is known as

the local field effect type II.

(18)

Overview of IC-test techniques

by other authors (Garth 1986b, Ura 19841. This definition seems to be a logical one because the two types should be reduced by different measures. The local field effect type I can be reduced by an extraction field that lowers the potential barrier caused by the local fields. The local field effect type II should be reduced by a high collection efficiency and a direction-insensitive energy analysis. Another way to avoid the local field effect is to increase the working point to such a value that those electrons that might be influenced will not be used for the measurement.

Transit time effect

There are practical limits to the time resolution of stroboscopic waveform measurements [May 1988. Weiner 1987J. The first limit is the width of the electron pulses. The electron pulses are made broader by the inherent energy spread of the electrons and by the longitudinal Coulomb interaction, known as the Boersch effect.

The second limit is the so called transit-time effect. This is the influence of the potentials of the chip on the electrons shortly after the electrons have left the surface. The effect can result in a change in direction of the electrons and even in capturing of the electrons. The remedy is again a direction-insensitive energy measurement, a high collection efficiency and a high extraction field. Another effect of a changing electric field Is a real change In the energy of an electron. This can only be prevented by a short transit time, established by a high extraction field [Fujioka 1985a, Nakamae 1988].

Voltage contrast isolation

As several physical mechanisms cause contrast in the scanning microscope the voltage contrast is mixed up with other signals. Sometimes this is very useful, for example when the topography signal is used to find the nodes of interest. In other situations one would like to measure the voltage signal only, isolated from all other contrast. A scheme for voltage contrast isolation has first been used by Oat ley, to measure the potential on a pn-diode. The diode was biased and unbiased alternately at a frequency of 200 kHz and the frame period was 30 s. The signal measured in the biased mode was fed to an amplifier, the signal measured in the unbiased mode to another. These two signals were filtered, so one detector appeared to monitor a continuously biased transistor while the other appeared to monitor an unbiased transistor. Subtraction of the filtered signals yields

(19)

the voltage information without topographic information. Voltage contrast isolation schemes based on this principle were used by several authors [Oatley 1969, Gopinath 1971b, Gonzales 1978, Cocito 1980, Obyden 1980, Vanzi 1987].

Fujioka used a image processor and attained voltage contrast isolation by subtracting a frame of the biased chip from a reference-frame of an unbiased chip [Fujioka 1982]. In fact, this is another realization of the same isolation scheme. It has the advantage that the reference signal is measured only once and is stored for the rest of the measurement. For a microscope with a frame-store this seems to be the most practical way for voltage contrast isolation.

Another method for voltage contrast isolation has been used by Tee [Tee 1977]. He used two hemispheric grids surrounded by a solid hemisphere. The outer hemisphere collects the backscattered electrons and the secondary electrons transmitted by the retarding grid. The secondaries that were reflected by the retarding grid were collected on the inner grid. A measure for the total emitted current, found by adding the two signals, was used for the compensation of fluctuations in the primary beam current and of topographic information. The authors do not mention the collection efficiency of the reflected electrons on the inner grid, which can not be high because it transmits the electrons in the other direction.

Passivated devices

Most semiconductor devices are covered with a passivation layer as protection measure. Materials as silicon-oxide, silicon nitride and polyimide are in use for this purpose. In most cases the waveform measurements can be performed before this layer is deposited, or the passivation layer must be removed from the place of interest.

It is also possible to measure the voltage contrast on passivated devices. When the primary beam can not penetrate through the passivation layer, the surface becomes a conducting layer, capacitively coupled to the conductor, the node of interest, underneath [Görlich 1985b, 1986]. This mode seems to be limited in use since the conducting layer lies at some distance away and

is not only coupled to the node of interest but also to the neighboring nodes.

When the primary beam penetrates through the passivation layer an electrical connection is formed between the surface and the conductor and

(20)

Overview of IC-test techniques

However, the high energy primary electrons can cause severe damage to MOS-devices, so one must define scan windows on the chip where the high energy primary electrons must not come [Görlich 1983). In this situation there is a need for a system that can make fast changes in the acceleration voltage. The altering of MOS-characterlstics or the complete destruction of a device can be caused by primary electrons or by x-rays generated by the primary electrons. These effects have been studied by Miyoshi and Görlich [Miyoshi 1982, Görlich 1985a].

Auger voltage contrast

In general voltage contrast can be measured most accurately with the emitted secondary electrons. The number of Auger electrons, also carrying voltage information, is too low for fast and accurate measurements.

This especially holds for situations where the voltage changes as a function of time. For the measurements of the potential distribution over for example a pn-junction, time is not an important factor. In this situation Auger voltage contrast techniques can be used. [Bresse 1984, Comizolli 1987, MacDonald 1970, Massopust 1985, Pantel 1977, 1982, 1983]. The variation of the internal potential can be monitored by measuring the shift of Auger peaks, for example the peak of carbon which will be present in systems with low vacuum conditions or the peaks of silicon. The energy of Auger electrons escaping from metal is equal to the transition referred to the Fermi level. For semiconductors this energy should be referred to the valence band. So the position of the Auger peak is directly connected with the valence level.

Auger voltage contrast is not influenced by contamination of the sample and when used in combination of a sputter ion beam a three-dimensional high spatial resolution voltage mapping of the pn-junction can be obtained. Muralt used scanning tunneling microscopy for a high spatial resolution map of a pn-junction [Muralt 1987].

Short/open testing

Sometimes a large number of chips are mounted together in a small package and the interconnection module should be tested separately. [Pfeiffer 1981b, 1982, Brunner 1985. Woodard 1988].

Pfeiffer and Woodard describe a multiple electron beam system for the testing of interconnections in networks on which 100 logic chips can be mounted. One electron beam hits one part of the connection to be tested.

(21)

The beam is tuned with the backscatter and secondary electron yield less than unity, so the connection will charge negatively. If the potential change due to this charging can be measured at the other side of the interconnection, the connection is short-circuit. When no potential change is detected the path is open.

Electro-optical measurements

The electro-optical way of measuring waveforms should be mentioned here. This method is based on the birefringence properties of the probe or the substrate. This means for example that a material has, in the presence of an electric field, different indices of refraction for right- and for left circularly polarized components.

When a linearly polarized optical beam is reflected by a dielectric-vacuum interface the angle of polarization depends on the electric field at the interface. This method can be employed by holding a transparent needle with a flat polished ending close by the integrated circuit. The circuit invokes the electric field at the reflecting tip of the needle. When a beam is reflected in the needle the electric field at the tip can be measured.

[Mourou 1987, Valdmanis 1987]. Valdmanls used laser pulses of 100 fs and reached a voltage sensitivity of 8 mV/v'Hz with the tip located at 2 urn above the chip, while the lines were spaced 10 urn apart.

Since GaAs is optically transparent, the waveform on a metal track on GaAs can be measured by determining the ellipticity of the beam that is

Electro—optic UTaO, field Optical beam Electric Circuit IVnfer . Electric if field ft ' -■ *

Optical beam Ground plane

Figure 1. 3

Measurement of the electric field using electro-optic effects Left: the field changes the index of refraction of the probe. Middle and right: the field changes the index of refraction of the substrate.

(22)

Overview of IC-test techniques

reflected by the metal-GaAs interface. [Zhu 1986, Freeman 1989], or by the ground plate. See figure 1.3. In these situations the laser beam is

transmitted through the semiconductor material underneath the electrodes. Wiesenfeld used this method to measure waveforms on InP. Using pulses of 20 ps he realized a sensitivity of 20 mV/v'Hz IWiesenfeld 1987).

The electro-optic measurement mode does not suffer from the transit-time effect. However, since it does not measure the voltage on a track, but the field at a certain distance from it, this measurement mode is sensitive for signals on adjacent electrodes.

Electron and optical beam induced current

When an electron or optical beam hits semiconducting material, electrons get excited which can jump from the valence band to the conduction band thus forming an electron-hole pair. Since this is not a stable state the electron-hole pairs will regenerate and emit a photon or a phonon. An electric field can separate the electron-hole pairs, driving the electrons and the holes in opposite direction. These separated electron-hole pairs contribute to an electric current in the specimen. This is called the electron beam induced current normally abbreviated to EBIC. When the current is induced by a laser beam we talk about optical beam induced current (OBIC). About 30% of the energy of electrons that do not scatter back is spent on the generation of electron-hole pairs, so one 4keV electron can create about 1000 pairs [Micollet 1988. Shaver 1981J.

The electric fields that cause the electron beam induced current occur at pn-junctions. Schottky barriers or grain boundaries. When the electron-hole pairs are not separated, they will regenerate causing heat so when a surface is frequently hit by a pulsed electron beam, this will result in acoustic surface waves. An electron-hole pair close to a crystal boundary will result in an induced current, a pair generated further away will contribute to an acoustic wave [Takenoshita 19871. In this sense EBIC and acoustic microscopy are complementary.

The induced current monitors various effects, such as latch-up, logic states, and hot spots.

The electron beam or optical beam induced current can be used to find regions in which latch-up occurs. ICanali 1986. Schick 1981, Miyoshi 1982, Quincke 1987).

In the CMOS process both n-type and p-type doped silicon substrate is

(23)

required. In order to get p-type when n-substrate is used, a p-well is diffused. The border of this well can act like a pn-junction and together with a n* or p* region like an npn or pnp transistor. An n* and an p* region both close to a border can together form a n*pnp*-structure, acting like a thyristor. Once excited, this parasitic thyristor can cause latch-up thus short-circuiting and normally destroying the integrated circuit.

Laser or electron probe

(I V 5 V

1' ill : iti KIllC

Figure 1.4

Example of a latch-up structure

Latch-up can be avoided by respecting a minimum clearance between the border of the p-well and the other diffusions, but when the dimensions of

the chips are scaled down these clearances must be challenged. Since there is no way of predicting whether or not a suspected area will latch-up this has to be found out by trial and error, at least when area consuming over-dimensioning has to be avoided.

When an electron beam or a laser beam hits the latch-up region, it can bring this region into the conducting situation. The beam generates electron-hole pairs, which when they are close to the pn-junction cause a change in the supply current. When this changing signal is connected to the video monitor a raster image of the chip shows all the latch-up sensitive areas.

The most sensitive areas are found when the supply voltage is kept low. The less sensitive areas show up when the voltage is increased.

The electron-hole pair generation only occurs when the primary electrons penetrate deep enough to reach the pn-junction. Therefore the acceleration voltage must be high, sometimes up to 30 kV is used and this can alter the properties of the MOS transistors, which can be a reason to prefer a laser

(24)

Overview of IC-test techniques

beam over an electron beam.

Hot spots can be located by measuring the electron beam Induced current. Hot spots are regions with a higher temperature than their surrounding caused by short circuits or by too low resistances. Since the resistance of a pn-junctlon is thermosensitive, the electric field and the induced current are temperature dependent [Ziegler 1987).

Another way of temperature mapping is by liquid crystal thermography. When the chip is covered by a thin layer of liquid crystal, the surface will show an electro-optical effect, which disappears above a certain critical temperature. When the chip temperature is given a certain offset by means of an additional heating element a complete temperature map can be obtained

IKölzer 1987).

The electron beam induced current can be used to monitor the state of a pn-junction, since it is sensitive for Internal electric fields. When the chip is in operating condition, a biased junction (logic 1) will correspond with a bright region, and a non-biased junction (logic 0) will correspond with a dark region [Ziegler 1987).

Reconfiguration and mask repair

In the design phase of integrated circuits it is often desirable to make little changes in the realized chip in order to check the influence of a proposed design-change. Since the production of a complete new chip will take weeks or longer, some small changes made in-situ can speed-up the design process.

Roughly, there are two principle operations required for this so-called microsurgery, the removal of material and the deposition of material [Girard 1987, Mangir 1984, Sudraud 1988]. In a scanning electron microscope equipped with a focused ion beam these operations can be done in-situ. First, material can be removed by a focused ion beam, for example Ga or

InP, in the sputter mode. An ion beam can drill through a passivation layer to reach the metal layer underneath while an electrical connection can be cut by the same ion beam. The spatial resolution is only limited by the spotsize, which can be some tens of nanometers, which is smaller than necessary. Care must be taken that electric charge, accumulated on the passivation material during the sputter process, does not destroy the circuit underneath. Material can also be removed by means of laser pulses

lAtwood 1984).

(25)

Second, material can be deposited by a droplet beam, for which In or InAu can be used, or by a chemical reaction induced by a laser beam or a focused ion beam. In that case a reaction occurs with a gas present in the specimen chamber. Gases suitable for this purpose are A 1 ( C H3)3, C7H7F602A u , Cr(C0)6 or W ( C O )6 for the deposition of aluminum, gold, chromium and tungsten respectively. The last two are mentioned by Petzold and used for mask-repair [Petzold 1987].

The chemical deposition of metal this way is quite critical, so if the process parameters are not tuned correctly a layer of WO will be deposited. This means that when the process is completely under control, a layer of metal can be deposited as well as an isolating layer.

All the required tools for reconfiguration can be present on the microscope: connection and isolation layers can be removed and deposited, while the process is monitored continuously by the SEM.

The methods of microsurgery are also applied for photo- and x-ray mask repair [Wagner 1983. Muller 1986, Weigmann 1988]. Principally, these masks can suffer from two defects, a clear defect, that is a transparent spot that should be opaque, and a opaque defect, that is a opaque spot that should be transparent. There is no principle difference between a photomask and an x-ray mask only the dimensions are different. The critical dimensions of the first is larger while the thickness of the metal layer forming the opaque spots is thicker for the latter. A layer of metal on a x-ray mask should be 1 pm thick. The ratio of the width and the thickness of the metal layers, called the aspect ratio, is much higher for x-ray masks.

The repair of opaque and clear defects, that is the removal and the deposition of material can be done by techniques described above.

The call for possibilities for reconfiguration is also heard on the production site. For example, when in in a large memory-chip only one line malfunctions, this line can be disconnected and replaced by another one. This reconnecting can be done by blowing fuses with an electron or laser beam. As the area of a chip increases the production yield of 100 % fault-free chips decreases. For this reason redundancy and reconfiguration strategies belong to the strategy of wafer scale integration. [Shaver 1981].

An electron beam can cause a current in a biased junction. This induced current is too small in practical situations for direct circuit control,

(26)

Overview of IC-test techniques

but special integrated amplifiers can be used to amplify the current. This opens the way for electron beam controllability and reconfiguration.

[Micollet 1988]

In these applications the electron probe is used for two purposes: for monitoring and for controlling. For a correct control over a circuit it is necessary that the microscope can switch quickly from the beam energy for observation to the beam energy for controlling iMicollet 1988].

Metrology

Since the semiconductor feature sizes becomes smaller, a deviation of less than 0.1 jjm from the specifications will cause a severe change of the electrical behavior. A test system must have a spatial resolution of better than 0.1 (jm and a high reproducibi 1 ity, sometimes of 6 nm, which can not be obtained by optical methods. The microscope should be able to measure the linewidth, layer thickness and slope edges. The signal of the scanning microscope contains the lateral information and, when interpreted correctly, the lateral dimensions can be derived form it.

For a reliable linewidth-measurement it is important that the acceleration voltage is known and that the microscope is in focus. Each time that the microscope's settings are changed it should be calibrated [Tollkamp 1987. Postek 1988. Nakayama 1988, Rosenfield 1988].

Slope edges can be measured in the stereoscopic mode which can be obtained by specimen tilting [Ura 1989], For the accurate interpretation a computer is useful if not necessary [Yamaji 1985. Frosien 1986].

In multi-level metallization electronic devices each metal layer is separated from the others by a passivation layer. This layer should not be thicker than absolutely necessary, but too thin places will lead to wrong connections between metallization layers. During the deposition of the passivation layer Its thickness can be monitored. When an electron beam that is scanned over the chip, can penetrate through the passivation layer and can hit the aluminum layers, x-rays will be emitted. The acceleration voltage required just to generate x-rays is a good measure for the passivation thickness [Sartore 1988].

Conclusions

We have given an overview of several test techniques. Both the light and electron optical microscopes are important tools for the designers and the testers of integrated circuits. Parameters and properties that can be

(27)

measured are the electric waveforms on internal nodes, the temperature of the chip, latch-up, layer thickness and lateral structure dimensions. The possibilities of electron beam controllability and microsurgery are mentioned.

The electron microscope has a high spatial resolution, and a non-intervening probe that can be positioned in an easy and accurate way. It is expected that the principles of the electron beam tester can be applied to test the next generation of VLSI integrated circuits (Wolfgang 1987]. The quality of the electron beam tester must grow together with the growing demands set by the VLSI technology. The quality of the system is restricted by the computer control, the local field effect and the transit time effect.

The electro-optical waveform measurement method is, in spite of its inherent lower spatial resolution, a serious competitor of the electron beam tester. An advantage of the electro-optical method is that it can be employed in plain air while the electron beam tester requires vacuum. So the electron beam tester should fully exploit the lead that it has on the light-optical methods: the higher spatial resolution and the faster way of probe positioning. The column can be extended to a system that can do more than measuring voltages only. For example, a focused ion beam and a powerful image processor can be added to the system.

Other serious problems that should be solved by electron optical improvements are the local field effect and the transit time effect. In several applications there is need for the possibility to quickly change the acceleration voltage of the primary beam.

(28)

CHAPTER 2

SECONDARY ELECTRONS

Introduction

The measurement of voltages in the electron microscope is based on the energy analysis of secondary electrons. In the first part of this chapter we will discuss the basic physical concepts of the emission of electrons from solids. We will treat the topics that are of interest for the electron beam tester, basically the energy and angular distribution of the emitted electrons. We will pay some attention to the angular distribution because this distribution has a large influence on voltage measurements and it has been treated wrongly or at least ambiguously in several articles on electron beam testing. In the second part we will discuss the way in which the electrons are detected in most voltage contrast detectors and compare the performance of several spectrometers with each other.

The topic of the number of electrons that is required for a voltage measurements is discussed in detail. The noise in the signal on the detector is fundamentally given by the number of electrons that are involved in the measurement. Derived expressions show a relation between the noise and the primary beam current or between the noise and the bandwidth of the detection system. Here we will calculate the number of electrons that are needed to reach a certain measurement accuracy. We will calculate the signal-to-noise ratio for several detection strategies.

(29)

Secondary electron emission The emission process

In a scanning electron microscope a finely focused electron beam is scanned over the specimen. The number of electrons that are emitted from the sample depends on the material and the topography of the sample, its crystallographic orientation, the secondary electron's escape depth, the electronic structure i.e. the band-structure and the density of states, and on diffraction effects at the surface. The total number of electrons c escaping from the sample is equal to e = S * i), where 6 is the secondary electron yield and 17 is the backseattered electron yield.

When a primary electron hits the specimen It can collide with an atom's nucleus. An electron that scatters back after one or more collisions is called a backscattered or reflected electron. The energy of a backscattered electron is only a little less than the energy of the primary electrons. The backscatter coefficient i), defined as the number of backscattered electrons per primary electron, is material dependent: materials with a high atomic number 2 have a high backscatter coefficient. An experimental value for the backscatter coefficient of aluminum is 0.14. This value has been measured by Kanter for primary beam energies in the range from 2 keV

to 20 keV [Kanter 1961b]. The backscattered electrons contain bulk-information because they have penetrated relatively deep into the substrate before reflection.

A primary electron can kick an electron in the nucleus of an atom to a higher core shell. Since that is not a stable position, the electron will fall back to its original energy level. The surplus of energy can be transmitted to an electron that escapes into the vacuum. This electron is called an Auger electron.

The electrons that are created by the Auger process have an energy characteristic for the element in which they are created. This characteristic energy will be lost by scattering, when the Auger electron

is emitted more than a few mono layers below the surface. The yield of Auger electrons is low compared to the backscatter and secondary yield, so normally it is omitted in the balance equation.

When a primary electron hits the specimen, it can excite electrons in the valence band. These excited electrons travel through the material

interacting with other electrons and with crystal lattices. When an electron reaches the surface, it will be emitted as a secondary electron

(30)

Secondary electrons

only if it has sufficient kinetic energy to cross the potential barrier formed by the surface. These are what we usually call the real secondary electrons. Normally, all electrons leaving the specimen with less energy than 50 eV are called secondary electrons.

We can distinguish three types of secondary electrons.

The first type of secondaries are released by an incoming primary electron. Since an electron can only be emitted from a region limited by the mean escape depth, this type of electrons carry information about the surface of the sample. For metals the mean escape depth is in the order of 1 nm, while for isolating materials this value is 10 - 20 nm. The area from which these electrons escape is determined by the spot-size.

The second type of secondaries are released by backscattered electrons. Although these electrons are also formed in a very thin surface layer, they contain bulk information because the backscattered electrons behave bulk-dependent. The contribution of backscattered electrons to the emission of secondary electrons has been measured by Kanter. He measured the backseatter-coefficient and the secondary emission coefficient of an aluminum stub and an aluminum film of 50 nm as a function of energy of the primary beam [Kanter 1961b]. The number of secondary electrons emitted per reflected electron can be larger than the number of emitted electrons per primary electron. This is especially so for high primary energies ISeiler 1983J.

The mean free path determines the spatial resolution that can be reached in a scanning electron microscope. Electrons are excited in an area limited by the probe diameter d and can not travel more than A, so secondary electrons type I escape from an area with diameter r, where r2=d2+A2 [Seller 1983]. The group of electrons released by backseatters can be emitted from a

larger area than the probe, thus deteriorating the spatial resolution. The area where the reflected electrons can create secondary electrons is approximately equal to their penetration depth, which depends on the material and on the acceleration voltage.When the backscattered electrons and the secondary electrons are detected by two different detectors, one can isolate the signal of the first type of secondary electrons by subtracting the backscattered signal from the secondary signal [Seller 19831.

The third type of secondaries are released by backscatters hitting the wall of the specimen chamber. Since the number of these electrons is

(31)

proportional to the number of backscattered electrons these secondaries also contain bulk information. Sometimes it is desirable to collect these electrons or even to stimulate this form of emission, for example by means of a special coating of the specimen chamber or the pole pieces. Negatively biased converter plates coated with MgO are used for this purpose [Reimer 1979). In other situations we do not want to collect these electrons, and their creation or detection should be suppressed for example by positively biased carbon-coated plates. This is the case in e-beam testers because the energy of these electrons does not depend on the potential of the sample.

The total number of emitted secondary electrons depends on the primary energy. A slow primary electron excites only a few electrons. These electrons originate close to the surface, so they have a high probability

to escape. When the primary energy increases, the number of excited electrons increases, too, but since they originate deeper in the material the escape probability decreases. A typical relation between the total number of emitted electrons and the primary energy is shown in figure 2.1. The maximum yield is reached for a primary energy Em. The yield is unity for a primary energy E, and E2. Seiler mentions values for E, and E2 which

Total yield ! V « ) 1 0

/

'

El Em Stable c r o s s - o v e r

^

^

E2 I i u n a r y energy Figure 2. 1

Total yield c = i) + 5 as a function of the primary energy

are in the order of 200-400 eV and 1500-2000 eV respectively [Seiler 19831. When the microscope works in one of the points where the total yield is

unity we talk about the non-loading condition. When the yield is lower than unity there are more electrons impinging on the sample than electrons leaving the sample. The surplus charge must be drained or the specimen charges.

(32)

Secondary electrons

When the energy of the primary beam is higher than E2 and it impinges on an Insulating specimen, the surface will charge negatively. By this negative charge, the acceleration voltage with respect to the surface potential decreases and the emission yield increases. When the primary energy is higher than E, but lower than E2 the surface charges positively. The secondary yield decreases by this charged surface, so the process will stabilize at the second cross-over.

On the other hand, when the primary energy is lower than E,, the sample charges without any stabilization until the surface potential reaches the value of the acceleration voltage. Since this high voltage can destroy the device under test this situation must be prevented in electron beam

testers.

Angular distribution

The angular distribution of electrons emitted from polycrystal1lne surfaces is a cosine distribution and does not, or only slightly, depend on the angle of incidence. This distribution is called the Lambertian distribution after the Lambert law, according to its equivalence in light optics [Brown 19651. The Lambert law says that the luminous intensity in a given direction radiated or reflected by a perfectly diffuse plane varies as the cosine of the angle between that direction and the normal to the surface. This implies that the power per unit projected area per unit solid angle is constant. Since the projected area is given by d Sp = dScosö the intensity

Figure 2.2

Small area dA at distance r from the point of emission and with angle 6 with the sample's normal

«3

(33)

distribution is given by:

f(6) = cos(e) Eqn. 2. 1 This means that the number of electrons that can be detected by a small detector with solid opening angle dfi is equal to:

Ntot

dN = cos (8) d£J Eqn. 2.2

n

This distribution can roughly be explained as follows. Assume that an area dA is irradiated by primary electrons. If the probability that an excited electron in the material moves in a certain direction is the same for each direction and if that electron can only travel a distance X through the solid, then it can only reach the surface if it originated closer than A cos(e) to the surface [Jonker 1957]. The volume from which an electron with direction G can escape is proportional to cos(8).

Figure 2.3

Electrons with mean free path A can escape from a layer of thickness A cos(e)

The number of electrons with an emission angle between 6 and Q + do with respect to the sample's normal is equal to:

dN = 2 Nl o l sin(8) cos(8) de = Nt o l sin(28)d8 Eqn. 2.3

so the mean angle of emission is 45 .

In figure 2.4 the emission probability for each direction is plotted. Many publications only show a circle in stead of a sphere but we should keep in mind that this is a two-dimensional projection of the real situation, otherwise we might think, as those figures suggest, that most electrons are emitted with an emission-angle equal to 0 . This point is rather tricky. If the two situations are confused, this can lead to the omission of integration over the solid angle. This omission occurs in several papers on electron beam testing, [Chan 1988, Gopinath 1987, Menzel

(34)

Secondary e l e c t r o n s

■ i i

dN(8) Nlol cos{e)dfl

Figure 2. 4

Three-dimensional representation of the angular emission probability

<■)

'

N(0)

Figure 2. 5 Two-dimensional representation

of the angular emission probability omission influences the calculated results. Nakamae calls his model explicitly a two dimensional model and gives the correct three-dimensional formula in an appendix.

An instrument for the measurement of the angular distribution of secondary electrons of nickel has been described by Jonker IJonker 1951).

It is assumed that the probability that a primary electron excites a secondary electron with energy E is a function of E only and does not depend on the position in the material or on the work function of the material.

(35)

Energy distribution

The energy distribution of secondary electrons has been discussed in many publications [Amelio 1970, Bouchard 1980, Bindi 1987, Seiler 1983. Chung 1974, 1975]. Although the secondary electron emission is caused by numerous effects the shape of the energy distribution looks similarly for various materials and depends mainly on the work function of the material.

In the model published by Chung the situation for metals is simplified as follows [Chung 1974]. The energy distribution is the product of the number of excited electrons S(E) with internal energy E, the mean free path A(E) of the electron inside the solid and the escape probability. Assume that a primary electron impinges perpendicularly on the surface of the sample and that it does not change direction in the region of interest, that is the thin outer layer of the material from which electrons can escape. It is assumed that the probability that a primary electron excites an electron with energy E is a function of E only and does neither depend on the position in the material nor on the work function of the material. The internal direction of motion of the excited electrons has a homogeneous distribution. An electron can leave the surface when the energy component normal to the surface exceeds the work function of the metal. Further we assume that an electron can escape only if it does not scatter between the place of origin and the surface, so it escapes when it originated within

the mean free path \(E) from the surface, provided that it has sufficient energy to cross the surface. This does not hold for faster electrons, such as Auger electrons, which still can escape after scattering. The refraction and reflection effects at the surface are neglected. (A model which takes

the scattering of the secondary electrons at the metal-vacuum interface into account has been published by Chung [Chung 1975].)

Several authors, all cited by Chung, have calculated expressions for these terms which are plotted in figure 2.6. The ordinate has not been scaled. The abscissa has its zero-point at the vacuum level.

With all these assumptions the form of the s-curves is given by:

k E dE

N(E)dE = Eqn. 2.4

(E + Wf )4

where k is equal to 6Wf Nl o t. In this formula the energy E is referred to

(36)

Secondary electrons

Figure 2.6

Secondary electron energy distribution according to Chung

For the derivation of this energy distribution of secondary electrons it was stated that an electron needs a certain amount of energy to escape into the vacuum. In the case of metal an electron should jump from the Fermi level to the vacuum level and the difference between these two energy levels is equal to the work function.

This situation is more complicated in the case of semiconductors. In semiconductors, an electron should jump from the valence band to the vacuum and this valence band can change throughout the structure. For instance GaAs can form a hetero junction with a thin layer of Cs or Cs02. by which band bending and de-bending occurs 1 James 1970, Uebbing 1970). This can form potential wells or potential barriers that trap or reflect electrons. A model for the energy distribution of electrons emitted from semiconductors that takes a potential barrier into account has been published by Bouchard [Bouchard 1980]. An example of a potential barrier that he assumes to be present in the surface layer is shown in figure 2.7 and with this barrier he calculated the energy distribution. Essential in this model is that if the barrier exists only in a very thin layer, an electron still has a finite probability to escape, even if it has less energy than the height of the barrier. The zero-point of the secondary energy spectrum lies at the vacuum level. In the case of a thick barrier-layer the escape probability of electrons with less energy than the barrier is so low that the zero-point of the spectrum lies at the top of the barrier. The results of his calculations show that both the full width at half maximum and the mean energy are lower in the secondary energy

(37)

Energy [eVj 5 " 0 \ Potential / \ barrier / Vacuum / level 1 0 Distance [nin 1

Figure 2.7

The potential barrier at a dielectric

surface

spectra of semiconductors than In those of metals.

The energy distribution of secondary electrons escaping from insulating materials can be described by the same formulae. Due to the charge build-up in the material, the dielectric can get a conducting behavior in the region where the primary electrons can penetrate, i.e. a primary electron can release many bound electrons which can travel a certain distance through the solid [Pensak 1949). This electron beam induced conductivity has several consequences. When the primary beam penetrates through a dielectric film and reaches a conducting layer underneath, the surface becomes electrically connected with the conducting layer. But when the primaries do not reach any conductor, the potential of the induced conduct ing layer becomes floating and can stabilize at some equilibrium value. This has been described by Petit-Clerc and by Taylor.

Petit-Clerc measured the surface potential of aluminum bombarded by electrons by means of a Kelvin probe and reported a change of almost 2 V caused by the charge build-up in the oxide layers and in the dielectric

layer formed by polymerization of organic compounds [Petit-Clerc 1968). Taylor measured the energy distribution of electrons emitted from silicon dioxide on silicon. For a low primary energy the energy spectrum shifts and the surface potential appeared to shift tens of volts and more. When the primary electrons were fast enough to reach the silicon substrate the surface potential returned to the bulk potential [Taylor 1969],

The secondary energy spectrum is related to the band structure. The work function determines the main form of the spectrum but deeper energy bands

(38)

Secondary electrons

can contribute small features causing material dependent peaks in the derived curve dN(E)/dE [Ogoh 1985, Boiziau 19841.

Surface potential and work function

There is a difference between the work function of a material and the surface potential. The work function is the barrier to overcome when the electron leaves the surface, or in other words, the energy needed to extract an electron from the Fermi-level and to place it at rest in the vacuum at a considerable distance from the surface, assuming that the surface is flat and there are no external fields. The surface potential is

.

i i .; level

Work function Fermi- level J L

Figure 2.8

Energy levels of metals

the energy that is really needed to get the electron from the Fermi-level away from the surface, i.e. with the rough surface and the external field taken into account. When no external fields are present the surface potential is at least as large as the work function.

The most accurate method for measuring the work function is the Fowler method. In this method the sample is irradiated by photons and the square-root of the number of photo electrons is plotted against the photon energy. This relation is linear in a region of 1 eV above the work function and Wf can be found at the interception of the abscissa and the line fitted through the measurement-points. For a serious measurement a clean surface and ultra high vacuum conditions are needed and even then discrepancies occur in the results of different authors [Eastment 1973, Grepstad 1976]. Measurement accuracies of less than 0.003 eV have been claimed.

Other methods, but with lower accuracy, are the measurement of contact

(39)

potential with a golden reference stub or the analysis of emitted electrons when the material is heated or bombarded with electrons.

The surface potential can be measured by means of a Kelvin probe. When two surfaces are brought to each other an electric field exists between them and surface charge is present in an amount proportional to that field. If the probe vibrates mechanically the field will vibrate, too. This will cause a current which can be measured. The measurement circuit can adjust the voltage on the probe in such a way that the current reduces to zero which means that the probe potential is equal to the surface potential

(Parker 1962].

The work function can only be measured accurately under ultra high vacuum conditions because the surface potential and the work function are influenced by adsorbed water or by polymerized hydrocarbon. This is an important problem because ultra high vacuum rarely exists in electron beam testers and has been mentioned by many authors. The deposition of carbon contamination layers has been studied quantitatively by Muller who intended to use a scanning microscope as a writing device [Muller 1971). During a stroboscopic waveform measurement which can take as long as 500 s the secondary emission coefficient changes but this effect can be compensated for by averaging the effect over the whole measurement by random sampling techniques [Feuerbaum 1979). The form of an s-curve as a function of the irradiation time has been measured by Taylor, while the spatial change in surface potential as function of the vacuum condition has been measured by Parker [Taylor 1978, Parker 1962).

The effect of bad vacuum conditions is reflected in the energy spectra measured on aluminum by several authors. If the work function is roughly estimated from the s-curve or from the secondary spectrum, values can be found from less than 2 eV [Crawford 1971], 3 eV [Nakamae 1986], 4 eV

[Feuerbaum 1979] and more than 5 eV [Menzel 1983a&b). Only in a few cases it is reported that the sample is cleaned before testing, in for example an Argon plasma [DiBianca 1986). Ura mentions evidence for a voltage distribution throughout the spot region during irradiation [Ura 1989). The surface of an aluminum track can be contaminated with aluminum-oxide, absorbed water carbon layers et cetera. In the practice of electron beam testing the exact form of the spectrum is not important as long as it does not change during the measurement. In a later section we will show how the signal-to-noise ratio of a voltage measurement is related to the secondary

Cytaty

Powiązane dokumenty

The variation with sweepback of the total drag of an aircraft in level flight at supersonic speeds is calculated..

The dataset collected during the construction phase of the surface detector of the Pierre Auger Observatory from January 2004 until April 2008, is used to present an upper limit to

W świetle przeprowadzonej analizy zarówno postać *Dobrava (oraz jej wa- riant spieszczony *Dobravka) jak i *Dobrówka (wariant morfologiczny po- wstały na gruncie spol., utworzony nie

W praktycznym wymiarze wdrożeniowym zastosowane technologie telein- formatyczne stanowią konglomerat rozwiązań sprzętowo-programowo-organiza- cyjnych, takich jak np.:

 -$186=&amp;,&amp;+&lt;

Finansowanie inwestycji samorzą- dów terytorialnych ze środków Unii Europejskiej na przykładzie gminy Mszczonów Kaczanowski Dariusz Rakowski Witold 30.03.2008 23 Leśniewska

For more detailed analysis, a short calculation of annual operating costs (thermal energy for heating and hot water purposes) for different fuels, i.e., gas, oil, coal, electricity

• Secondary electrons of SEM provide higher depth of focus compared to optical microscopy. Optical Image