• Nie Znaleziono Wyników

Integrated silicon thermopile infrared detectors

N/A
N/A
Protected

Academic year: 2021

Share "Integrated silicon thermopile infrared detectors"

Copied!
124
0
0

Pełen tekst

(1)

I N T E G R A T E D ; ; : ;;

SILICON T H E R M O P I L E

INFRARED DETECTORS

* , i

*F

s-^ ^

(2)

INTEGRATED

SILICON THERMOPILE

INFRARED DETECTORS

(3)

INTEGRATED

SILICON THERMOPILE

INFRARED DETECTORS

Infrarooddetectoren op basis van geintegreerd

silicium thermozuilen

Proefschrift

ter verkrijging van de graad van doctor in de technische wetenschappen

aan de Technische Universiteit Delft

op gezag van de Rector Magnificus, prof.dr. J.M. Dirken, in het openbaar te verdedigen ten overstaan van een commissie,

door het College van Dekanen daartoe aangewezen, op donderdag 1 oktober 1987, te 16.00 uur

door

Pasqualina Maria Sarro geboren te Piedimonte Matese, Italië

dottore in Fisica

(4)

Dit proefschrift is goedgekeurd door de promotor Prof.dr.ir. S. Middeihoek

(5)

ai miei genitori aan René en Marco ed alia mia nonna

(6)

TABLE OF CONTENTS

Page

1. INTRODUCTION 1 1.1 Aim of the work 1 1.2 Organization of the thesis 2

2. OVERVIEW OF INFRARED DETECTORS 3

2.1 Introduction 3 2.2 Detection of infrared radiation 3

2.2.1 Infrared radiation 3 2.2.2 The photon detection process 6

2.2.3 The thermal detection process 10

2.3 Thermal detectors 10 2.3.1 Thermopile detectors 11

2.3.2 Bolometer detectors 13 2.3.3 Pyroelectric detectors 15

2.2.4 Others 17 2.4 Optical detectors versus thermal detectors 18

3. THE SILICON THERMOPILE INFRARED DETECTOR 21

3.1 Introduction 21. 3.2 Thermoelectric effects 22

3.2.1 The Seebeck effect 22 3.2.2 The Peltier effect 25 3.2.3 The Thomson effect 27 3.2.4 The Seebeck coefficient 28

3.2.5 Figure of merit 33 3.3 Integrated silicon thermopiles 35

3.3.1 Thermopile performance 35 3.3.2 Use of thermopiles in thermal sensors 38

3.4 The silicon thermopile infrared detector 39

3.4.1 The working principle 39

(7)

4. FABRICATION PROCESS 45

4.1 Introduction 45 4.2 The cantilever beam structure 46

4.2.1 The etch process 46 4.2.2 Influence of the oxide thickness 55

4.2.3 Influence of the aluminum

interconnection pattern 57 4.2.4 Influence of other parameters 58 4.3 IR detector fabrication process 61

5. EXPERIMENTAL RESULTS 67

5.1 Introduction 67 5.2 The single detector 67

5.2.1 The detector layout 67 5.2.2 Responsivity to blackbody radiation 73

5.2.3 Relative detectivity, NEP and

time constant 81 5.2.4 Spectral response 83 5.2.5 Spatial homogeneity 84 5.3 The infrared sensing array 86

5.3.1 The array layout 86 5.3.2 Responsivity to blackbody radiation 88

5.3.3 Relative detectivity, NEP and

time constant 91 5.3.4 The array as part of a monochromatic

radiation sensor 92

6. DISCUSSION AND CONCLUSIONS 99

REFERENCES 103 LIST OF SYMBOLS 108

SUMMARY 110 SAMENVATTING 112 ACKNOWLEDGMENTS 114 ABOUT THE AUTHOR 116

(8)

1. INTRODUCTION

1.1 Aim of the work

Electronic measurement and control systems in general consist of an input transducer, a signal processor and an output transducer [1.1]. In the input transducer, often called the sensor, a measurand such as temperature, pressure, radiation, chemical composition or magnetic field direction, is converted into an electrical signal. In the signal processor, the electronic signal is modified (amplified, filtered, etc.). In the output transducer, the electronic signal is converted into a signal which can be perceived by one of our senses (display) or which can cause some action (actuator). While an abundance of very sophisticated low-cost microelectronic components is available today, sensors with performance/price ratios comparable to that of microelectronic circuits are much in demand. One group of sensors of current interest are silicon sensors.

Silicon is a very promising material for sensors not only because it shows many large physical effects which may be used for sensing purposes [1.2], but also because a dependable, diverse and sophisticated silicon planar technology is available nowadays. The application of silicon planar technology to sensors offers several advantages [1.3,1.4]:

- The dimensions of the sensor can be very small, so that the measurand will not be significantly influenced by the sensor, the power consumption can be very small and the frequency response can be good.

- The batch-fabrication technique allows large quantities of sensors to be produced, thereby reducing their price.

- The sensor and the signal processing electronics (or a part of it) may be integrated on the same chip, to obtain a so-called smart sensor.

Silicon also has very good mechanical properties and micromachining of three-dimensional structures is feasible. Further, it exhibits no hysteresis if subjected to repeated stress and in terms of their chemistry silicon and its oxide are inert in many hostile environments.

Of course, the use of silicon also has some drawbacks such as a limited temperature range of operation (most sensors only work properly between - 50 and + 150°C). In addition, packaging often presents some difficulties (the sensor may have to operate in a hostile environment in which the usual integrated circuit (IC) encapsulation is inadequate). However, the advantages of integrated sensors greatly outweigh the disadvantages.

(9)

One of the physical effects that can be exploited for thermal sensing is the Seebeck effect. This self-generating effect, in which a temperature difference is converted into an electric voltage, is rather large in silicon. Thermocouples or thermopiles (a pile of thermocouples connected in series) based on this effect have been used to measure temperature differences or to convert thermal energy into electrical energy. Several thermal sensors, based on the Seebeck effect and able to measure mechanical, radiant and chemical signals, have been realized in silicon and some of them are fabricated by integrated circuit technology [1.5].

The aim of this work was to investigate the possibility of realizing one of these thermal sensors, namely a thermal infrared detector based on an integrated silicon thermopile. The use of infrared (IR) detectors, both thermal and photon, is not confined to research and development laboratories, but has many applications in industry, medicine, meteorology, astronomy and defence. In fact, without touching an object, IR technology can determine its existence, its shape, its temperature and its composition [1.6-1.7]. Thermal detectors, although generally slower and less sensitive than photon detectors, are still widely used, because they respond equally well to a broad range of infrared radiation, operate at room temperature and are inexpensive. These unique properties make them suitable for various tasks that cannot be fulfilled by photon type detectors, and as such are sufficient reasons to continue to develop them, particularly for applications where inexpensive, but reliable detectors are required [1.8].

The device presented in this thesis is a thermal type detector of infrared radiation, based on an integrated silicon thermopile. By using silicon not only as a supporting structure, but also as one of the two thermocouple materials, such a device benefits from both of the above-mentioned advantages offered by silicon IC technology and from the large value of the Seebeck coefficient in silicon.

1.2 Organization of the thesis

In Chapter 2 the infrared radiation detection process will be briefly described and an overview of the thermal type infrared detectors will be presented. The Seebeck effect in silicon and the integrated silicon thermopile, the device exploiting this effect, will be investigated in Chapter 3. In that chapter the infrared detector based on the thermopile will be analyzed theoretically. The fabrication process used to fabricate both single detector and linear arrays will be described in Chapter 4, while the experimental results will be extensively presented in Chapter 5. Finally, a discussion of these results together with some conclusions will be the subject of Chapter 6.

(10)

2. OVERVIEW OF INFRARED DETECTORS

2.1 Introduction

Although the infrared part of the spectrum was discovered in 1800 by Herschel, detection of infrared radiation goes back a long way. Man has always been aware of the heating effects of first the sun and then of fire. The only heat sensors available then were the skin sensors distributed over the body, with those in the hands and the face being the most convenient for use. The first experiment to sense heat emitted by a terrestrial object appears to be that made by della Porta at the end of the 16th century [2.1]. He noted (using his face) the concentration by a concave metal mirror of the heat of a distant candle and the cold from a block of ice. This experiment was repeated in Florence by the Accademia del Cimento in 1660 when, for the first time, a detector replaced the hand or face. The detector used was a thermometer, which was a prototype of the modern liquid-in-glass thermometer.

Since then many detectors for infrared radiation have been discovered and are usually classified into two general classes: thermal detectors and photon detectors [2.2]. In photon detectors, the incident radiation excites electronic transitions which change the electronic state of the detector. In thermal detectors, the energy of its absorbed radiation raises the temperature of the detecting element. This increase in temperature will cause changes in the temperature dependent properties of the detector. Monitoring one of these changes enables the radiation to be detected.

In the following section, after a short review of the infrared radiation characteristics, we will describe briefly these two types of detection processes. In the last section of this chapter we will review the most important types of thermal detectors, pointing out their characteristics and their limits. Finally, the advantages and disadvantages of both optical and thermal detectors will be briefly discussed.

2.2 Detection of infrared radiation

2.2.1 Infrared radiation

The radiation emitted by a body as a result of its temperature is called thermal radiation. All bodies emit such radiation to their surroundings and

(11)

absorb such radiation from them. The emitted radiation has a continuous spectrum, whose details depend strongly on the body temperature and somewhat on the composition of the body. However, experiments show that there is one class of hot bodies that emits thermal spectra of a universal character. These are called blackbodies, i.e. bodies which absorb all the thermal radiation incident upon them. Independently of the details of their composition all blackbodies emit thermal radiation with the same spectrum. The spectral distribution of blackbody radiation is specified by the spectral radiancy RT iy), defined so that RT (i/) Av is equal to the energy

emitted per unit time in radiation of frequency in the interval v to v + di/ from a unit area of the surface at absolute temperature T. The integral of the spectral radiancy over all frequencies is the total energy emitted per unit area from a blackbody at temperature T, i.e.,

oo

/?T= f RT(v)év (2.1)

o

RT is called the radiancy and it increases rapidly with increasing

temperature (see Fig. 2.1). This result was first stated in 1879 in the form of an empirical equation, called Stefan's law

RT = oT4 (2.2)

where a is the Stefan-Boltzmann constant.

Experiments show also that the spectrum shifts towards higher frequencies - - o r lower wavelengths - - as T increases. This result is known as Wien's displacement law

^max T = const (2.3) where Amax is the wavelength at which the spectral radiancy has its

maximum value for a particular T.

By considering the energy emitted by a blackbody as a discrete variable, Planck obtained the following formula for the energy density in the blackbody spectrum:

Zithc dA ,- .,

M A ) d A — hc/XkT ( 2"4 )

A C — 1

known as Planck's radiation law. This formula is in complete agreement with the experimental results at all temperatures. Stefan's law (2.2) and Wien's law (2.3) can be derived from this formula. Stefan's law is obtained by integrating Planck's law over the entire wavelength range, while Wien's law is obtained by setting dp(A)/dA = 0 [2.4].

(12)

STELLINGEN

appendix to the Ph. D. thesis

"Integrated silicon thermopile infrared detectors" by

(13)

1. Any type of signal which can generate an on-chip temperature difference (or alter an existing known temperature difference) across an integrated silicon thermopile can be detected by the thermopile.

This thesis, chapter 3;

A. W. van Herwaarden and P. M. Sarro, Thermal sensors based on the Seebeck effect, Sensors and Actuators, 10 (1986) 321-346.

2. For an integrated thermopile infrared detector, a cantilever-beam

structure is preferable because its sensitivity is higher than that of a membrane structure of comparable dimensions.

This thesis, chapter 3.

3. Micromachining of silicon by means of an etching process which is compatible with silicon planar technology, is a necessary tool for persuing new types of integrated silicon sensors.

This thesis, chapter 4.

4. Very thin dielectric membranes (400A) resting on a silicon substrate, fabricated by anisotropic etching of silicon, are excellent substrates for TEM analysis of sputtered or evaporated metals.

5. In heterojunction photovoltaic devices, large lattice mismatch and high solar conversion efficiency are not mutually exclusive.

R. R. Arya, P. M. Sarro and J. J. Loferski, Efficient CdS on silicon solar cells, Appl.Phys.Lett., 41 (1982) 355-357.

6. Growth of good quality I-III-VI2 and II-IV-V2 ternary chalcopyrite

semiconductors and their alloys is a worthwhile research topic. Alloy systems of these materials offer the possibility of attaining independent control of the bandgap and of the lattice constant of the material. This has great potential for new classes of LEDs, laser diodes and solar energy convenors.

(14)

7. Team work can give excellent results only if all the team members fully understand the meaning of these words.

8. The fact that, in The Netherlands, technical studies are concentrated in separate universities (Universities of Technology) has dramatic consequencies on the social development of the students and employees.

9. To give a good talk is almost as difficult as achieving the results which are to be presented, and at least as important.

10. The decisional power of managers in large organizations should at least match their responsibilities.

(15)

WAVELENGTH A (/jm)

Figure 2.1: Spectral radiancy R(\,T) of a blackbody at the temperature in kelvins shown on each curve. The diagonal line intersecting each curve at its maximum shows the Wien's displacement law [2.3].

The relation between the body temperature and the frequency spectrum of the emitted radiation can be used to estimate the temperature of the body. There is a continuous spectrum of the thermal radiation emitted, the eye seeing chiefly the color corresponding to the most intense emission in the visible region. However, objects at temperatures below 1000 K emit a spectrum which has a maximum at a wavelength larger than 3 micron. This means that a large part of the energy is emitted in the infrared region of the spectrum. Consequently, infrared detectors are necessary to detect the radiation emitted by these objects.

Let us now examine how this radiation can be detected. Electromagnetic radiation can interact with materials in many ways. However, the choice of materials and the experimental arrangement usually cause one of the effects to predominate. The two most important categories are photon effects (photons interact directly with the electrons in a material) and thermal effects (certain properties of a material change due to a change in temperature arising from absorption of radiation) [2.5]. Infrared detectors are generally classified into photon or thermal detectors according to the mechanism of radiation detection involved. Let's first examine the photon

(16)

2.2.2 The photon detection process

Several forms of photon effects are possible when incident photons interact with electrons within the material generally a semiconductor -whether bond-to-lattice or free atoms. These effects can be subdivided into internal and external ones (see Table 2.1). The internal effects are those in which the photoexcited carriers (electrons or holes) remain within the sample, while the external effects are those in which an incident photon causes the emission of an electron from the surface of the absorbing material. Among the many different photon effects the photoconductive, the photovoltaic and the photoemissive have been widely exploited for infrared detection.

Table 2.1: Classification of photon effects [2.5]. 1. Internal

1.1 Excitation of additional carriers Photoconductivity Electrically biased Intrinsic Extrinsic Microwave biased Photovoltaic effect p-n junction Avalanche p - i - n Schottky barrier Heterojunction Bulk Photoelectromagnetic effect Dember effect Phototransistor

1.2 Free carrier interactions Photon drag

Hot electron bolometer Putley detector

1.3 Localized interactions Infrared quantum counter Phosphor

Photographic film 2. External (photoemissive) 2.1 Photocathodes

Conventional

Negative electron affinity 2.2 Gain mechanisms

Gas avalanche

Dynode Multiplication

Channel electronmultiplication

Photoconductivity: The radiation changes the electrical conductivity of the

material upon which it is incident. This effect, which can be observed in virtually all semiconductors, can be intrinsic or extrinsic. Intrinsic photoconductivity requires the excitation of a free hole-electron pair by a photon having an energy equal to or greater than the energy gap Eg (see

Fig. 2.2a). The long wavelength limit A0 of an intrinsic photoconductor is

therefore:

^ 0 =

he

(17)

Many of the semiconductors employed as photodetectors, such as Si, Ge, III-V and II-VI compounds, have energy gaps ranging between 0.4 eV and 2.4 eV at room temperature, which means that they have values of A0

ranging from 0.52 (for CdS) and 5 /zm (for PbSe), and consequently they can be used only in the visible and near IR region. By operating the detector at a low temperature (77 K), a larger value of A0 can be obtained

in the case of some compounds like Pb0 2 Sn0 8 Te and Hg0 8 Cd0 2 Te,

which have a long wavelength limit of 12 /xm [2.5].

A P P L I E D ELECTRIC FIELD ELECTRON CONDUCTION / / / / / / / V t f ? / / / / / / / / BAND

PHOTOEXCITATION-*-T

Eg « h c / X0

+

////-ujy//////////

VALENCE HOLE B A N 0

APPLIE0 ELECTRIC FIELD

ELECTRON CONDUCTION

/A/////AH-H////////

B

A

N

D

E; - hC/X0

T

■PHOTOEXCITATION PHOTOEXCITATION «^1 E i « h c / X0

7 7 7 7 7 7 /

DONOR LEVEL ACCEPTOR LEVEL

/////■//////+.

HOLE 7 7 VALENCE BAND

Figure 2.2: Photoconductive processes: a) Intrinsic; b) Extrinsic [2.5].

Extrinsic photoconductivity occurs when an incident photon, lacking sufficient energy to produce a free hole-electron pair, can produce excitation at an impurity center in the form of either a free electron-bound hole or a free hole-bound electron. In this case the long wavelength limit is given by

he

K = — (2.6)

where Ei is the impurity ionization energy (see Fig. 2.2b). Since Si and Ge

can be doped by many impurities a large range of values for Ei is made

available by using doped crystal of these two semiconductors. The long wavelength limits are generally larger than 8 ^m [2.5].

(18)

Photovoltaic effect: The incident radiation generates electron-hole pairs

which are separated by a built-in electrical field due to an internal potential barrier, such as in the case of p-n junctions (Fig. 2.3), p - i - n junctions, Schottky barriers, heterojunctions and avalanche photodiodes.

While photoconductivity is a majority carrier phenomenon (it is the increase in the number of majority carriers accompanying irradiation which makes up for the photocurrent), the photovoltaic effect depends largely upon the minority carrier lifetime. This is because the presence of both the photoexcited electron and hole are required for the intrinsic effect to be observed. N-REGION P-REGION ELECTRON S T CONDUCTION BAND PHOTOEXCITATION Eg -- h c' \ >

1

VALENCE BAND At HOLE

Figure 2.3: Photoexcitation at a p-n junction [2.5].

Photoemissive effect: The incident radiation causes the emission of an

electron from the surface upon which it is incident (photocathode) into the surrounding space, where it is to be collected by an anode.

Vacuum phototubes, gas filled phototubes and the widely used photomultiplier are typical applications of the photoemissive effect.

The spectral properties of detectors based on this effect are controlled by the photocathode, which can be a metal or a semiconductor. If it is a metal, the incident photon to cause photoemission must have an energy at least equal to the work function of the metal, which lies in the order of several electron volts (see Fig. 2.4a). This implies that metallic photocathodes can be used only to detect visible or ultraviolet radiation. For semiconductor materials with a positive electron affinity, whose energy band diagram is illustrated in Fig. 2.4b, the minimum energy required for a photon to cause photoemission is that which will raise the electron to an energy level higher than the potential barrier at the surface. Although less energy than for metallic photocathodes is required for photoexcitation, these photocathodes extend at best only into the very near infrared. The near

(19)

infrared can be reached by some photocathodes which use semiconductors having a negative electron affinity. In this case the incident photon energy must only equal or exceed the energy gap of the semiconductor for photoemission to occur (see Fig. 2.4c). It appears that photocathodes are not efficient detectors of infrared radiation at wavelengths exceeding 1 /mi.

METAL-I—VACUUM PHOTOEXCITATION FERMI LEVEL T \

n

1

/ SEMICONDUCTOR — I — VACUUM PHOTOEXCITATION CONDUCTION BAND _ FERMI LEVEL —

VALENCE ^^y//////A

/

7?>

IT

SEMICONDUCTOR— —VACUUM CONDUCTION BAND PHOTOEXCITATION FERMI LEVEL 4-VALENCE BAND 7 ' *—

V,

q +

Figure 2.4: Photoemissive processes: a) Metal photocathode; b) Semiconductor photocathode with positive electron affinity; c) Semiconductor photocathode with negative electron affinity [2.5].

(20)

2.2.3 The thermal detection process

The thermal detection process consists of two stages. In the first one the radiation is absorbed by the material, generating phonons and causing the lattice to heat up. In the second one, this increase in the temperature of the absorbing material causes variations in the material properties. By monitoring these changes, the radiation can be detected. Several thermal effects can be used to detect the incident radiation, but those which have found greater utility in infrared systems are the thermoelectric, bolometric and pyroelectric effects.

2.3 Thermal detectors

Thermal detectors are generally classified according to the thermal effect used for the detection. However, the primary effect in which the absorbed fraction of the incident radiation causes a temperature increase in the absorbing material is common to all types of detectors. The basic model of a thermal detector is shown in Fig. 2.5.

D E T E C T O R - ^ V SIGNAL WIRES

Figure 2.5: Basic model of thermal detector [2.6].

The detector is represented by a thermal mass H, which is connected by a link of thermal conductance G to a heat sink at temperature T. Without incident radiation, the detector is also at temperature T, while in the presence of incident radiation its temperature rises to TB = T + 6. The

temperature rise 6 is found by solving the equation [2.6]:

(21)

where P is the incident power, of which the fraction r? is actually absorbed. The solution of this equation

6 = r) P (G2 + w Z /2)4 (2.8)

shows that it is advantageous to reduce the heat conductance G from the detector to the heat sink (the heat losses from the detector have to be minimized). Further, for modulated radiation, it is desirable that the heat capacity of the detector itself be minimized.

The time constant r of the detector is, as in electrical circuits, the product of a heat resistance and a heat capacitance, i.e.

r = RthH (2.9)

where Rth is the detector thermal resistance.

Before we review several types of thermal detectors, classified according to the thermal effect used in the second stage of the detection process, let us define two other quantities, which together with the time constant, are used to characterize the detector performance: the responsivity R and the relative detectivity D*.

The responsivity R of an infrared detector is the ratio of the detector output and the input power. Generally, the term blackbody responsivity is used if the source is a blackbody, while the term spectral responsivity is used if the source is monochromatic radiation. For most of the thermal detectors the output signal is a voltage, so the responsivity is generally expressed in V/W.

The relative detectivity is an area independent figure of merit defined as

D* = (2.10)

NEP

where AD is the absorbing area (or active area) of the detector, B is the

bandwidth of electronic equipment and NEP is the noise equivalent power. NEP represents the minimum detectable power of the detector, and is given by the ratio of the noise signal and the responsivity.

2.3.1 Thermopile detectors

Thermopiles (several thermocouples connected in series) are the oldest radiation detectors, after thermometers. They are based on the Seebeck effect, in which a temperature difference between the junctions of the two different conductors forming the thermocouple is converted into an electric voltage. This electric voltage is related to the temperature

(22)

difference through the Seebeck coefficient of the conductors, i.e.

AF = ( a8 ] 1- a8 ] 2) A r (2.11)

where a8 x and aB 2 are the Seebeck coefficients of the two conductors. (For

a detailed description of the Seebeck effect and for typical values of the Seebeck coefficient of metals and semiconductors, see the next chapter). The structure of the thermopile infrared detectors is generally as follows: the hot junctions are supported by a thin insulating membrane, in order to reduce the thermal conductivity of the device, and are located in proximity to a radiation absorber. A thin layer of an absorbing material is necessary to absorb the incident radiation efficiently over a broad spectral range. The cold junctions are on a thick frame, which acts as a heat sink. The two different thermocouple materials are generally deposited on the membrane by vacuum deposition and patterned through a photolithographic process. Materials which have high Seebeck coefficient values and are easy to handle are usually chosen.

Lahiji and Wise [2.7] have used two types of thermocouples for their detector: bismuth antimony and polysilicon gold. In both detector types, the thermal properties of the device are dominated by the silicon membrane. As a result, the polysilicon thermopile exhibits the same speed as the Bi-Sb device with a responsivity which is increased by a factor of about 2, reflecting the larger thermoelectric power of the polysilicon-gold couple. The minimum detectable power for polysilicon devices is somewhat larger than for the Bi-Sb detector due to the higher Johnson noise associated with the high polysilicon lead resistance. For applications involving the detection of very low incident energy, Bi-Sb couples are advantageous because of their minimum detectable power. For applications involving a higher incident level, polysilicon-gold couples are preferred, since they offer higher responsivity without compromising speed.

Because of its large Seebeck coefficient (=* 400 /xV/K), tellurium has been chosen by Kimura [2.8] in combination with Ag for a thermopile made on a floating Si02 film (microbridge) on a silicon substrate and by Shibata et

al. [2.9] in combination with InSb.

Table 2.2 summarizes typical performance characteristics (N is the number of couples, AD is the active area of the detector, Rtp is the electrical

resistance of the thermopile and the other parameters are as defined above) of some thin-film thermopile detectors [2.7-2.12]. The best result has been achieved by Elbel et al. [2.10], with a detector consisting of Bi-Sb thermocouples evaporated onto a 1 /mi thick Si02/Si3N4 membrane

(23)

Table 2.2: Typical performance characteristics of some thermopile infrared detectors.

Materials N A^ R T Rtp D* Ref.

( m m2) (V/W) (msec) (kfi) (K^crnHzfyvv) Bi-Sb p - p o l y S i / A u n - p o l y S i / A u T e - A g Te-InSb Bi-Sb n - p o l y S i / A u p - p o l y S i / A u 60 60 60 11 54 50 6 15 12 32 32 0.36 0.36 0.36 1.0 1.0 1.0 0.78 0.78 6 7 9.6 1.1 95 3 0 - 5 0 15 23 9.5 2 0 - 2 5 5 2 - 5 6 15 15 15 300 30 1 5 - 3 0 80 32 40 25 25 36 70 500 20 8 3 3.25 80 250 0.6 0.3.5 0.48 1.1 3.0 1.3 3.0 1.1 0.55 0.7 [2.7] [2.7] [2.7] [2.8] [2.9] [2.10] [2.11] [2.11] [2.11] [2.12] [2.12]

Thermopile detectors are very good detectors for noncontact temperature measurements, since temperature radiation is a dc or low frequency signal and the thermopile can respond directly without requiring the use of a chopping system. Thermopiles are also used for NDIR (Non Dispersive IR) gas analyzers and for passive IR intrusion alarms.

2.3.2 Bolometer detectors

The thermal effect on which bolometer detectors are based is that of change in resistivity of a material in response to the heating effect of the incident radiation. This can be expressed by means of the temperature coefficient a defined as

1 dR

where/? is the resistance and T the temperature of the sample.

Bolometers consist of a resistive element constructed from a material with a large value of a. The detector is generally called metal bolometer if the material is a metal, and a thermistor (thermally sensitive resistor) if it is a semiconductor.

Metals have a positive temperature coefficient of resistance. Typical values of a for metals commonly used in bolometers (platinum, gold, nickel, etc.)

(24)

range between 0.3%/°C and 0.6%/°C at room temperature. Thermistors use the considerably larger but negative resistance temperature coefficient of certain semiconductor materials, usually oxidic mixtures of manganese, nickel and cobalt. The temperature coefficient for these materials is in the order of - 4%/°C, that is, about one order of magnitude larger than that of metals.

Another type of bolometer detector is the cryogenic bolometer developed especially as a detector for far infrared radiation. These detectors employ single crystal of semiconductors (carbon, Ga or In doped Ge, InSb and even Si) which have a large negative temperature coefficient of resistance at cryogenic temperatures (< 4 K). Although they have a high sensitivity and low noise, their cooling system makes them expensive and unpractical for many applications.

To operate a bolometer a constant current / is driven through the bolometer from a regulated current supply. The incident radiation produces a change AR of the resistance. The power supply needed to keep the current / constant will adjust the voltage by a small amount AV, which is given by

AV = rRaAT (2.13)

where the temperature AT is the solution of Eq. (2.7).

The responsivity AV/P can be improved by minimizing the heat conductance and the heat capacity or by increasing the current. However, there is a critical limit to how high a bias voltage may be applied, because of the self-heating effect, which introduces error into the measurement. Bolometers are preferably used with chopped radiation, so that with ac amplification the large dc dark signal is suppressed. Most commercial detectors consist of two identical bolometers connected in a bridge circuit, one being irradiated, the other being shielded, and serving as dark current and ambient temperature-drift compensation.

Several metal and thermistor bolometers operating at room temperature are reported in literature. The essential components of a bolometer are the sensing element and associated electrical contacts, the detector substrate and a suitable package fitted with an IR-transmitting window. The sensing element, generally very thin to minimize heat capacity and maximize the temperature change resulting from the absorption of radiation, is usually mounted on a heat sink to provide high-speed response and to dissipate bias current power. Metals such as copper form an excellent heat sink, but electrical insulators like quartz, sapphire and beryllium oxide are preferred, since the sensing element can be cemented directly to them. The sensitive area is usually blackened to improve absorptivity to radiation.

(25)

Thermistors made by sintering powdered mixtures of ferrous oxides are reviewed by Tebo [2.13]. The thermistor elements are usually 10 //m thick, with the sensitive areas between electrodes ranging from 0.05 x 0.05 mm to 5 x 5 mm. Thermal time constants range from 1 to 10 msec and relative detectivities from 1 to 3 x 108 cmHzfyw.

A very thin (4 nm) Pt film deposited on an amorphous dielectric pellicle has been used in the bolometer realized by Liddiard [2.14]. Since the pellicle materials must have a good thermal match and good adhesion to the substrate (a silicon wafer), they were prepared from thin films of alumina or silicon nitride deposited on the front side of the wafer and then etched from the back side to form the membrane. Detector elements with different sizes and resistivities were realized. For a typical detector size of

1 0 0 x 7 5 jim a maximum responsivity in vacuum of 50 V/W , falling to 4.5 V/W in air, was measured. The maximum detectivity of this detector is 1.6 x 108 cmHzVW in vacuum and 3 x 107 cmHzVW in a Xe atmosphere,

with a time constant lower than 1 msec.

Very good responsivity values are obtained with a Te or Bi bolometer made on a floating Si02 film (microbridge) on a Si substrate [2.8]. These

devices, fabricated by silicon IC fabrication techniques and metal evaporation, showed responsivity up to 130 V/W for the tellurium type and somewhat smaller values for the bismuth type. Both devices have time constants in the order of 200 msec, since they are mainly determined by the heat capacity of the microbridge.

A similar structure was also used in the air-bridge microbolometer [2.15]. It consists of a bismuth microbolometer, typically four micrometers square and one-tenth of a micrometer thick, suspended in the air above the substrate. In this way the major pathway out of the detector is removed and a maximum responsivity of 99 V/W is obtained.

Metal bolometers are still used for temperature measurements, while in infrared measurements thermistor bolometers are preferred because of their higher responsivity. Cryogenic bolometers are widely used in infrared astronomy where they have, over most of the infrared spectrum, a uniform performance comparable in sensitivity to the best photon detectors.

2.3.3 Pyroelectric detectors

The pyroelectric effect is exhibited in temperature sensitive pyroelectric crystals. Such crystals have an internal electric dipole moment. Although the external field produced by this dipole will normally be neutralized by an extrinsic charge distribution near the surface of the metal, in good pyroelectric materials (which are good insulators) this extrinsic charge distribution is relatively stable so that even quite slow changes in the sample's temperature, which produces changes in the internal dipole

(26)

moment, produce a measurable change in surface charge. Consequently, if a small capacitor is fabricated by applying a pair of electrodes to the sample the change in temperature and hence the incident thermal radiation can be detected by measuring the charge on the condenser. An output signal appears only if the temperature is changing. Therefore, this type of detector can be used only with chopped radiation. Another restriction is the temperature range. The materials involved lose their electric polarization if they are heated above a certain temperature, called the Curie temperature.

If the detector is irradiated with modulated radiation, an alternating temperature change AT will rise. Accompanying the temperature change is an alternating charge AQ on the external electrodes given by

AQ = pAAT (2.14)

where p is the pyroelectric coefficient of the material (in C cm"2 K"1) and

A is the area over which the incident radiation is absorbed. The

pyroelectric coefficient depends on the material and may also be a function of the temperature. It describes the charge C in Coulomb, which is generated per square centimeter by a temperature change of one degree Kelvin. Typical values of p vary from 0.4 to 4 x 108 C/cm2 K [2.16].

The most commonly used materials in pyroelectric detectors are: triglycine sulfate (TGS), strontium barium niobate (SBN), lead zirconate titanate ceramics, lithium tantalate, polyvinyl fluoride film (PVF) and polyvinylidene fluoride film (PVF2). TGS provides the most sensitive

detectors, but disadvantages such as the low Curie temperature (49 °C) make the other materials preferable as long as the lower responsivity is acceptable. A ceramic wafer of PZT modified with Pb(Sn0 5Sb0 5) 03 has

been used as the pyroelectric material by Murata and Ito [2.17], while P b T i 03 was used by.Kaneko et al. [2.18] to realize detectors with a D* of

5.1 x 107 cmHzVW and a time constant of 10 msec.

Very good results have also been achieved with plastic polymers such as PVF2 [2.19]. Thin membranes (0.5 - 0.8 y.m) of this material were used for

detectors packaged in vacuum containers, giving a maximum D* of 109cmHzV\Vat 10 Hz.

Apart from their use for modulated radiation, pyroelectric detectors, being capacitive, may also be used for pulse measurements and even as storage elements of the charges generated in arrays.

(27)

2.3.4 Others

Several other thermal effects, such as the temperature variation of the dielectric constant [2.20], the pyromagnetic effect (the magnetic equivalent of the pyroelectric effect) [2.21], and the Nernst effect in suitable semiconductors [2.22, 2.23] have received a certain amount of attention, although they are not widespread in use.

Another thermal detector that needs to be mentioned for its high responsivity is the Golay cell, first developed by Golay in 1947. Radiation absorbed by a receiver inside a gas-filled chamber (usually xenon for its low thermal conductivity) heats the gas, causing its pressure to rise which distorts a flexible membrane on which a mirror is mounted (see Fig. 2.6).

CELL LINE GRID

LED

ABSORBER FLEXIBLE MIRROR

I I PV DETECTOR

Figure 2.6: The Golay cell [2.2].

The movement of the mirror is used to deflect a beam of light shining on a vacuum photocell and so produce a change in the photocell current as the output. In modern Golay cells, the tungsten filament used to provide the beam of light is replaced by a light emitting diode and the vacuum photocell by a solid-state photodiode.

These detectors are of interest because of their extremely high responsivity (~106V/W). However, they are complex and difficult to handle. They

must be protected from shock and vibration and kept at a stable ambient temperature, and they are limited to radiation levels below 3 MW. Consequently, they are mainly suitable for laboratory applications.

(28)

2.4 Photon detectors versus thermal detectors

Many types of photon and thermal detectors for infrared radiation have been developed, each of them presenting advantages and disadvantages. Thus, there is no detector which is absolutely the best. What is more likely is that for each particular application or measurement condition one or the other will be the most suitable. However, by comparing the two categories of detectors, we can say that, in general, photon detectors are more sensitive (generally two orders of magnitude) and they are faster since they are based on a single-step transduction process rather than the two-step process associated with thermal detectors. One big disadvantage of the photon detectors is that they are characterized by a sharp long-wavelength cut-off (photons with energy lower than the bandgap produce no signal), which means they can be used only in a specific - - and usually quite narrow - - wavelength range. On the contrary, thermal detectors have a continuous response over a broad spectral range. This non-selectivity is a rather important requirement in many applications, such as radiometry - - where almost exclusively thermal detectors are used - - and in standardizing laboratories for basic radiometric calibration. On the other hand, there are a few applications, such as photometry and colorimetry, where selective detectors are needed. Another important advantage of thermal detectors is that they operate well at room temperature, unlike semiconductor detectors which must be cooled, because thermal generation-recombination noise limits their sensitivity. Although higher detectivity - - or lower noise - - is obtained by cooling, there are many cases in which a cooling system, generally complex and costly, is unpractical or undesirable.

Among the thermal detectors the most used are bolometers, pyroelectrics and thermopiles. Pyroelectric detectors have a higher responsivity and are generally faster, but they need modulated radiation. Bolometers, on the other hand, need an external bias. This introduces 1/f noise, making them less sensitive at low frequencies than thermopile detectors, for which Johnson noise is the limiting factor. Thermopile detectors, based on a self-generating effect, do not need any external bias as bolometers do, and the incident radiation does not need to be chopped as in pyroelectric detectors. It is in fact due to their ease of operation, and for reasons concerning performance, cost and reliability, that thermopile detectors are

generally preferred to pyroelectric or bolometer detectors for applications such as noncontact thermometers, passive IR intrusion alarms, NDIR gas analyzers and the like [2.11].

Thermopile infrared detectors have been generally realized using vacuum evaporation and shadow masking of the thermocouple materials on thin plastic or alumina substrates [2.24, 2.25]. This approach resulted in

(29)

relatively large structures, which lack the batch fabrication and the process flexibility typical of devices employing the highly developed silicon integrated circuit technology. In order to profit from this technology, thermopile detectors have been realized which did make use of silicon, but only as a supporting structure [2.7, 2.9, 2.10].

The thermopile infrared detector which we developed and which is described in the following chapter utilizes silicon not only as a supporting structure, but also as one of the two thermocouple materials, thus benefitting from both of the above-mentioned advantages offered by silicon IC technology and from the large values of the Seebeck coefficient of silicon.

(30)

3. THE SILICON THERMOPILE

INFRARED DETECTOR

3.1 Introduction

Like all thermal type detectors, the thermopile infrared detector presented in this thesis uses a two-step transduction process. Firstly, the radiation is absorbed in the interaction area of the detector and transformed into heat, which will flow to the heat sink and thus generate a temperature difference. In the second step this on-chip temperature difference is converted into an electrical voltage by the integrated silicon thermopile. In order to describe the working principle of the detector, we first need to discuss some important properties of the integrated thermopile, since the thermopile is the basic element of the detector. We will start by reviewing the Seebeck effect, the physical effect exploited by the thermopile. This effect is a self-generating effect, that is the power for the output signal is supplied by the input signal itself, instead of by an auxiliary power supply. This means that the thermopile is offsetless (no output signal is present without an input signal), which is a very attractive feature for a sensor to have. (Note that for the sake of completeness, the two related thermoelectric effects, the Peltier and Thomson effects, will be briefly discussed as well).

Next, the Seebeck coefficient of metals and semiconductors will be reviewed and the criteria motivating the choice of the proper thermoelectric material will be discussed. In the following section the optimum performance of integrated silicon thermopiles will be investigated. We will see that it is not only the silicon doping concentration and type which play a role, but that the geometry of the thermopile and the device structure affect the device performance as well. The investigations carried out in order to characterize the integrated thermopile and its performance are necessary to explain the working principle of the cantilever-beam shaped infrared detector based on such an integrated silicon thermopile. Once this has been accomplished, we will illustrate the design criteria of the device.

(31)

3.2 Thermoelectric effects

3.2.1 The Seebeck effect

If two (semi) conductors a and b are joined together at a hot point and a temperature difference AT is maintained between this point and a cold point (see Fig. 3.1), then an open circuit voltage AV is developed between the leads at the cold point. This effect, called the Seebeck effect after T.J.Seebeck (1770-1831) who discovered it in 1821, can be mathematically expressed by:

AV = asAT (3.1)

with as as the Seebeck coefficient expressed in V/K (or more commonly in

/iV/K). It was found that only a combination of two different materials, a so-called thermocouple, exhibits the Seebeck effect. For two leads of the same material no Seebeck effect is shown for reasons of symmetry. It is present, however, because the Seebeck effect is a bulk property which depends neither on a specific arrangement of the leads or of the material, nor on a specific way of joining them. This bulk property can be expressed as:

VEF/q = aBVT (3.2)

with EF as the Fermi energy, with q as the elementary charge, and with

the Seebeck coefficient as depending, among other things, upon the

chemical composition of the material and upon the temperature.

lead a

lead b

hot point ^ / / ' ' ' ' ' ' = Z ^ co[d p o j n t

Figure 3.1: The Seebeck effect: the appearance of a AV due to the presence of a AT.

(32)

The Seebeck coefficient of, for example, silicon, can be obtained by setting as equal to the derivative of EF to the absolute temperature (see

Fig. 3.2): a„ = 1 d S , q èT (3.3) >-TEMPERATURE!K) 7"+A7" ^"REF

Figure 3.2: The Seebeck effect: the variation of EF due to VT.

For non-degenerate n-type silicon the Seebeck coefficient may be approximated by using simple Maxwell-Boltzmann statistics. Three main effects are present.

Firstly, with increasing temperature the silicon becomes more intrinsic: 1 d £

q dT (Ec

£

F

) = --r(ln(Ar

c

/«)+f]

(3.4) with Ec as the conduction-band-edge energy, JVC as the conduction-band

density of states, n the electron density (fixed by the doping concentration) and k the Boltzmann constant.

Secondly, with increasing temperature the charge carriers have a higher average velocity. Therefore, the charge carriers in the warm regions move faster towards the cold regions of the silicon than the charge carriers in the cold regions move towards the warm regions. This leads to charge build-up on the cold side of the silicon. Moreover, the scattering of charge carriers is usually energy (and thus temperature) dependent, likewise leading to charge build-up on the cold or hot side of the silicon, depending on whether the hot carriers can move more freely than the cold carriers or are "trapped" by increased scattering:

(33)

1 d £F

~g~df ( r ) - > + * - ) ( 3-5 )

in which r is the relaxation time (mean free time between collisions) and

sn is the exponent describing the relation between r and the

charge-carrier energy.

Finally, the temperature difference in the silicon causes a net flow of phonons from hot to cold. In a certain temperature region (10-500K) and for non-degenerate silicon, a transfer of momentum from acoustic phonons to the charge carriers can occur. As there is a net phonon momentum directed from hot to cold, this will drag the charge carriers towards the cold side of the silicon. This effect may be represented by:

j dEFI ^

7 d F l<*»>" " 7 '

B (3

-

6)

in which <j>n denotes the phonon drag effect. In sum, the total Seebeck

coefficient in non-degenerate n-type silicon becomes: *

(ln(iV

e

/«) + 4 + *

n

+ ^n ) ""type (3.7)

S q v 2

A similar reasoning can be applied to non-degenerate p-type silicon, which results in:

a . - + y ( l n ( t fv/ p ) + - | + sp+ t fp) p_t y p e (3 .8 )

The terms \n(Nz/n) and ln(Nv/p) are typically of the order of 0 to 3 for

the doping concentrations used in thermopiles, and 5 is of the order of -1 to 2. The phonon-drag contribution 0 ranges from 0 for highly doped silicon to approximately 5 for low-doped silicon at 300 K, while at low temperatures (100 K) </> is of the order of 0 for highly doped silicon to

100 for low-doped silicon [3.1]. In practice, for the range of interest for use in sensors (0.3 - 1 mV/K) and at room temperature (300 K), the Seebeck coefficient may be approximated (see Fig. 3.3) as a function of the electrical resistivity:

cta=^ln(p/p0) (3.9)

(34)

^ > FE 1— -z. L U L J LU L U O l_J ^; L_I LU m L U LU L/l Z 1 0 _ / /. / * / / / / a / x & /

A

i i A A< I A N -type a N-type o N-type x P-type ■ P -type 10 RESISTIVITY(Qm) 10

Figure 3.3: The Seebeck coefficient of silicon as a function of the electrical resistivity at room temperature (300 K), where the symbols represent the experimental results and the dotted line the approximation of Eq.(3.9) [3.8-3.11].

3.2.2 The Peltier effect

In 1834 J.Peltier (1785-1845) discovered that when an electrical current flows through the junction of two different materials, heat is absorbed from or released to the ambient. This is caused by the thermal-energy current which is generally associated with the electrical current. The ratio of these currents usually differs for different materials. Therefore, when an electrical current crosses the junction, the difference in the thermal energy current will be released or absorbed at the junction (see Fig. 3.4).

\ZL lead a / / / /■ lead b ZZIZL

J-Figure 3.4: The Peltier effect: the absorption of heat at the junction of two different leads due to an electric current.

(35)

Mathematically this can be represented as follows:

<2 = - na b7a b (3.10)

with Q as the heat absorbed from the ambient, II the Peltier coefficient for a junction of materials a and b, and J the current flowing through the junction from material a to material b. The Peltier coefficient II can be expressed in terms of the Seebeck coefficient a8 by using the following

linear set of equations:

J=-aVET/q + aaaVT (3.11)

J;=^jrVEF/q - { ^ ) VT (3.12)

These equations interrelate the electrical and thermal quantities in materials when only electrical and thermal forces are present. Onsager [3.3] showed that - - if the correct terms are chosen - - the coefficients describing the cross effects are equal to each other in a linear theory of irreversible thermodynamics. In this way we arrive at the first Kelvin relation:

n = a

8

r (3.13)

named after Lord Kelvin (1824-1907), who first derived this equality. From Eqs. (3.11) and (3.12) we find, in isothermal conditions ( V r = 0), that:

Ö = - n / (3.14) which means that an electrical current / is accompanied by an entropy

flux (Q/T). The absolute Peltier coefficient is therefore nothing but the ratio of the electrical current and the associated thermal current mentioned above (see Fig. 3.5).

In thermal devices using heating resistors the Peltier effect may give rise to considerable asymmetries. For instance, the Peltier coefficient of 200 n/D resistors made of the shallow-p-type transistor base diffusion is 300 mV at room temperature. When a heating voltage of 3 V is applied across such resistors, a Peltier heat flow of 10% of the generated (irreversible) Joule heat will flow from one contact to the other, leading to

(36)

significant asymmetries. In critical situations the lay-out of heating resistors should therefore be planned carefully.

• • . • • • • •

^c

» * F

n-type silicon(Si) aluminum(Al)

Figure 3.5: The Peltier effect: schematic representation of electrons releasing thermal energy when crossing the junction of silicon to aluminum.

3.2.3 The Thomson effect

While considering energy conservation Lord Kelvin (born with the name Thomson) argued that if an electric current flows in a material where a temperature gradient is present, heat is absorbed from or released to the ambient. The following relation applies:

ÖTh = 7 T h ^ V r (3.15)

with 7T h as the Thomson coefficient. Kelvin showed that the Thomson

coefficient is closely related to the Seebeck coefficient and formulated the second Kelvin relation:

7Th = ^ s (3.16)

The Thomson effect is useful in the determination of the absolute Seebeck coefficient of lead (Pb). Lead serves as a reference for all other materials at temperatures up to room temperature, because its Seebeck coefficient is low and can be accurately measured as a function of temperature.

(37)

3.2.4 The Seebeck coefficient

The absolute Seebeck coefficients of certain metals at two different temperatures are shown in Table 3.1. These values [3.4-3.6] are based upon the absolute thermoelectric power of lead. Much higher values of the Seebeck coefficient have been measured for semi-metals (such as bismuth) and for semiconductors, making them more suitable for practical applications. Therefore, measurements of the Seebeck coefficient for several semiconductors have been carried out [3.1,3.2,3.7-3.24]. The results for silicon, monocrystalline silicon as well as polycrystalline and amorphous silicon, are discussed below.

Table 3.1: The absolute Seebeck coefficient of some metals and standard thermocouples (in juV/K).

Material Metal Nickel Palladium Platinum Aluminum Lead Vanadium Tungsten Rhodium Silver Copper Gold Molybdenum Chrome Seebeck coeff. at 273 K (MV/K) -18.0 -9.00 -4.45 -0.995 0.13 0.13 0.48 1.38 1.70 1.79 4.71 18.8 Thermocouple: type and composition J: Fe/CuNi K: NiCr/NiAl R: Pt/Ptl3%Rh S: Pt/PtlO%Rh T: Cu/NiCu 50 39 5 5 39' Seebeck coeff. at 300 K 0iV/K) -9.99 -5.28 -1.7 -1.047 1.0 1.07 0.4 1.51 1.83 1.94 5.57 17.3 51 41 6 7 41 Ref. [3.4] [3.4-3.5] [3.4-3.5] [3.4] [3.6] [3.4-3.5] [3.5] [3.4-3.5] [3.5] [3.5] [3.5] [3.5] [3.4-3.5] [3.6] [3.6] [3.6] [3.6] [3.6]

(38)

Single-crystal silicon

The Seebeck coefficient of single-crystal silicon samples with varying impurity concentration has been measured by Geballe and Hull [3.8], and is shown in the temperature range from 20 to 320 K - - f o r three values of impurity concentration - - i n Fig. 3.6.

10 > E - 5 u_ LU O CO LU LU I/) / / — • / \ 16/ 3 ' \ 2 . 4 x 1 0 /ciTi \ \ \ \ \ \ \ 1.0x1018/cm3 V ^ 1.5x10/cm3 i ~~~T I i i 100 200 TEMPERATURE (K) 300

Figure 3.6: The Seebeck coefficient of p-type single-crystal silicon in the temperature range of 20-320 K as a function of doping concentration.

Around room temperature the highest value of a8 was obtained for the

samples with the smallest concentration difference between the donor and acceptor atoms, that is, those with the smallest density of mobile charge carriers. A marked rise in a8 at low temperatures and, for large carrier

concentrations, a low-temperature reversal of the sign of the Seebeck voltage were observed.

From Fig. 3.3, where the Seebeck coefficient of silicon at room temperature is shown as a function of the electrical resistivity, it is evident that the Seebeck coefficient depends strongly on the impurity concentration. Planar IC technology makes it possible to have different types of doped silicon so that a broad range of Seebeck coefficient values is available. Integrated devices have been fabricated [3.2,3.9-3.12] in order to investigate the possibility of exploiting the Seebeck effect for thermal sensors (see Figs. 3.7 and 3.8).

(39)

Figure 3.7: A schematic cross-section of a p-type-Si/Al thermopile.

Figure 3.8: One of the ICs (ETMA 353) used to measure the Seebeck coefficient of monocrystalline silicon.

(40)

Figure 3.7 shows a schematic cross-section of a silicon chip with p-type strips created in an n-type epilayer by diffusion or ion implantation. The hot end of one strip is connected to the cold end of its neighboring strip by aluminum interconnection (the thermoelectric effect of aluminum is negligible). In this way a thermopile is created in which the Seebeck voltages of many strips have been added. Such thermopiles have been used to measure the Seebeck coefficient of the many different types of doped silicon.

Figure 3.8 illustrates one of the ICs used to measure the Seebeck coefficient of different types of doped single-crystal silicon. It contains 6 thermopiles, each generally consisting of 10 series-connected couples 2 mm in length and of different widths, and fabricated by various diffusion and ion implantation processes. The chip also includes two heating resistors to dissipate the power needed to create a temperature difference between the hot and cold junctions of the thermopiles. The resistor at the top of the photograph is a diffused shallow n-type resistor, while the one at the bottom is a Ni-Cr metal resistor. They have about the same resistance (~ 150 ft), but a different temperature coefficient. The temperature difference created by the heating resistor is measured by means of diodes positioned at the opposite junctions of the thermopiles in three different points of the chip. The values obtained with this and other ICs are in good agreement with the values measured in bulk silicon samples with the same carrier concentration. 1250 1000 >. r 750 — o *: LU CD LU LU 500 250 — -—

~ ~~^^-ü

I JEPI — X ~ ~ — .^.^JDPJHlm DP 30Llm P DN SN 300 350 400 TEMPERATURE(K) 450

Figure 3.9: The Seebeck coefficient of silicon strips fabricated with various processing steps in the temperature range of 300-450 K.

(41)

In Fig. 3.9 the values of ae are plotted vs. temperature in the range from

300 to 450 K for thermopiles in which the silicon strips are fabricated by the processes listed in Table 3.2. For samples having a lower sheet resistance, a8 depends less strongly on the temperature than for those

having a higher sheet resistance.

As the Seebeck coefficient depends strongly on the impurity concentration, inhomogeneities in the doping profile - - which are present in silicon strips made by diffusion or ion implantation techniques - - will affect the value of the Seebeck coefficient [3.2, 3.10]. These inhomogeneity effects are particularly visible in thermopiles with a rather different strip width/strip depth ratio, as in the case of the two 10/zm-deep p-type diffusion thermopiles shown in Fig. 3.6. These have a strip width/strip depth ratio of respectively 0.8 (DP 8 /xm wide) and 3 (DP 30 /xm wide).

Table 3.2: The processes used to fabricate the integrated silicon thermopiles. Process Diffusion Shallow P-type Buried N-type Half-deep P-type Deep P-type Deep N-type Shallow N-type Epilayer N-type Boron implantation 101 7/m2, 150 keV 101 8/m2, 150keV 101 9/m2, 150 keV Letter code SP BN TP DP DN SN EPI H K P Sheet resistance (fl/D) 200 20 35 10 5 7 400 2500 528 91 a8 at 300 K (mV/K) 0.95 0.9 0.7 0.7 0.3 0.2 1.2 1.1 0.8 0.5 Polysilicon

The possibility of using polysilicon as a thermocouple material has been investigated by Lahiji and Wise [3.13]. Polysilicon-gold thermopiles have been used to measure the thermoelectric power of polysilicon films

(42)

deposited from silane in a Chemical Vapor Deposition (CVD) process at 670°C. An as of 117 /xV/K has been measured at room temperature for a

1 /zm-thick boron-doped polysilicon film with a sheet resistance of 60 Q/D. For n-type polysilicon an a8 of -240 /iV/K for a 600 ft/D

phosphorus-doped polysilicon film and an aa of -176/iV/K for a lOOfl/D film have

been obtained.

Amorphous silicon

The Seebeck coefficient of amorphous silicon films has been measured by Jones et al. [3.17] for a-Si:H films and by Kodato et al. [3.18] for a-Si:H:F films. For amorphous silicon films doped with phosphorus a Seebeck coefficient between -0.7 and -2 mV/K, depending on temperature and resistivity, has been measured in the temperature range from 200 to 700 K. Higher-doped samples have a lower value of a8, which increases

less sharply with decreasing temperature. For the highly conductive a-Si:H:F films of both p-type and n-type values for a8 of 180 to

210/iV/K and -120 to - 2 1 0 / W / K , respectively, have been obtained. The Seebeck coefficients of these films are proportional to the temperature, while those of low-conductive a-Si:H films are inversely proportional to the temperature, just as is the case for highly and lower-doped monocrystalline silicon. At room temperature, a temperature coefficient of the Seebeck coefficient has been measured in the order of 0.8%/K for the n-type films and 0.7%/K for the p-type films.

3.2.5 Figure of merit

An important criterion in the selection of a material for thermoelectric applications is the figure of merit. This parameter has to be maximized over the temperature range of interest in order to maximize the signal-to-noise ratio. The figure of merit Z of a thermocouple made of materials a and b is defined as:

Z = ( aa- ab) 2 / ( ( paKa) ± + ( pbKb) ± )2 ( 3-1 7 )

but in comparing different thermoelectric materials it is more convenient to use the figure of merit z for a single material defined as:

z = as2/PK (3.18)

where ag is the Seebeck coefficient, p the electrical resistivity and /c the

thermal conductivity of the material.

For two materials whose individual figures of merit z and zn are similar

(43)

magnitude, the figure of merit of the couple is approximately equal to the average of the individual figures of merit. In general Z must be regarded as a rather complicated average of zp and zn.

Table 3.3: The figures of merit of some thermoelectric materials.

Material Electrical resistivity (fim) Positive thermoelements Ge (thin film) InAs ZnSb PbTe PbSe Sb2Te3 Bi2Te3 Bi2Te3 Bi2Te3-25%Bi2Se3 Bi2Te3-10%Bi2Se3 8.3 x l 0 ~4 2.0 x KT5 5.0 x 10"6 1.2 x 10"5 Negative thermoelements Ge (thin film) InAs Si InP 2As 9 Pbfe Bi2Te3-25°/oSb2Te3 Bi2Te3 Bi2Te3 Bi2Te3-50%Sb2Te3 Bi2Te3-74%Sb2Te3 6.9 x 10"3 2.0 x 10"5 3.5 x 10"5 7.7 x 10"6 8.2 x 10"6 Seebeck coeff. (/iV/K) 420 200 130 190 -548 -180 -450 -210 Figure of merit z ( K - i ) 3.3 x 10"6 8.0 x 10"5 1.0 x 10"3 1.2x 10"3 1.2x 10"3 1.2x 10"3 1.8x 10"3 2.2 x 10-3 2.7 x 10"3 2.8 x 10"3 6.8 x 10-7 2.7 x 10"5 4.0 x 10"5 6.0 x 10-4 1.5x 10"3 2.2 x l O "3 2.3 x 10"3 2.6 x 10"3 2.8 x 10-3 3.0 x 10"3 Ref. [3.16] [3.21-3.22] [3.7] [3.7] [3.7] [3.7] [3.7] [3.1] [3.1] [3.1] [3.16] [3.21-3.22] [3.10] [3.21] [3.7] [3.1] [3.7] [3.1] [3.1] [3.1]

It is desirable to find materials with the highest possible z in each temperature region. This involves simultaneously controlling three macroscopic parameters: the Seebeck coefficient, thermal conductivity and electrical resistivity. The Wiedemann-Franz law states that the ratio of the thermal to the electric conductivity is the same for all metals at a given

(44)

temperature. The maximum values of the figure of merit for metals are, therefore, obtained when the Seebeck coefficient is the highest. However, a differential Seebeck coefficient of more than about 0.1 mV/K cannot be realized in a metallic thermocouple [3.7]. In semiconductors, absolute Seebeck coefficients of up to one or more mV/K may be obtained. Therefore, the value of z that may be obtained is higher for some semiconductors than for any metals.

The figures of merit for a number of thermoelectric materials are shown in Table 3.3 [3.1,3.7,3.10,3.16,3.21,3.22]. While the differential Seebeck coefficient for a chromel-constantan couple is about 70 M V / K , for a bismuth-antimony couple it is about 110/iV/K. By using an alloy of 91% bismuth and 9% antimony instead of pure bismuth as the negative thermoelement, Z is increased to about 0.23 x 10~3 K- 1. This figure is

close to the maximum which may be achieved using metals or metallic alloys.

Up to 1950 the best positive thermoelectric material was zinc antimonide. When the compound contained small quantities of tin and silver its Seebeck coefficient, measured against constantan, was found to be 250 /xV/K. The highest figures of merit have nowadays been achieved with the lead compounds having group VI elements (PbTe, PbSe, PbS) or the V-VI compounds, bismuth telluride and antimony telluride. In Table 3.3 values of z for germanium, for silicon and for some III-V compounds are also shown. Although the figures of merit for these semiconductors are one or more orders of magnitude smaller, these materials are rather interesting for applications in the sensor field, because of their well-established technology. This is certainly the case for silicon, whose value reported in the table has been calculated using the resistivity and Seebeck coefficient values which are optimal for thermopile design (see the following section).

3.3 Integrated silicon thermopiles

3.3.1 Thermopile performance

The performance of Si-Al thermopiles, whose structure is schematically shown in Fig. 3.7, depends on the doping concentration or resistivity of the silicon strips and on the layout geometry. The silicon thermopile fabricated by an IC process, namely a bipolar process, is 10 nm deep or less. This means that only the first 10 fim of the silicon wafer - - whose total thickness is 300 ^ m - - are actively used. The silicon substrate underneath the thermopile acts as a thermal shunt, drastically reducing the thermal resistance of the silicon piece containing the thermopile and

(45)

consequently reducing the thermopile-output voltage. By removing the unnecessary silicon mass, the thermal resistance is significantly increased (if the silicon thickness is reduced from 300 /zm to 10 /urn, an increase by a factor of 30 is obtained) and so is the device performance [3.25]. This explains why thermal sensors using thermopiles generally have a cantilever beam or a membrane structure.

With Eq. (3.9) we will now estimate the performance of a Si-Al thermopile for a thin cantilever beam containing a thermopile between the tip and the base, and for a round membrane containing a thermopile with the hot junctions in the middle and the cold junctions on the periphery. It is assumed that the thermopile strips and the membrane or beam thickness

D are of the order of 10 pm. We shall ignore the fact that for electrical

isolation some separation between neighboring strips is necessary.

Cantilever beam

For a rectangular thermopile of width W, length X, length/width ratio

A = X/W and with N strips (see Fig. 3.10) we find:

N

'-k. <

3 I 9 )

where Rtp is the thermopile internal resistance, and jRse is the electrical

sheet resistance. The output voltage of the thermopile then becomes:

tftp-Ata,*lt/M (3.20)

where P is the heat flowing through the thermopile and Rst is the thermal

sheet resistance of the beam. We can use the following relations:

*

8 e

=f; * . t - ^ - ; *th = ^ .

t

;

a

* = ^ r

l n (

^ ° ) (3.20

where the electrical resistivity p is related to the measured value of ae of

the strips through the last expression, E is the equivalent electrical sheet thickness and K is the thermal conductivity. Then the following expression for the thermopile output voltage can be formulated:

TT _ mkP

U in — t p' QK

r*tPM

Cytaty

Powiązane dokumenty

1 Automaty komórkowe Krótka historia Znaczenie Definicje Sąsiedztwo Warunki brzegowe Złożoność automatów Klasyfikacja Wolframa Parametry 2 Automaty jednowymiarowe 3

W ogóle teksty pisane językiem totalitarnym wydają mi się uprzywilejowa- nym przedmiotem tego typu dociekań [s.. Protest przeciwko językowi totalitarnemu zaowocował w jego dorob-

• !e Laboratoire de Mécanique des Fluides de l'Ecole Centrale de Nantes (LMF-DHN) UMR 6598 du CNRS.. • Ie Laboratoire des Ecoulements Géophysiques et Industriels de Grenoble UMR

Twórczość każdorazowo staje się aktem tożsamości, powoływaniem siebie do życia tu i teraz, jedynym tego życia warunkiem.. Głównym punktem odniesienia dla tożsamości

Warto zadać pytanie, jaka wizja epoki Młodej Polski jako całości wyłania się z książki Wojciecha Gutowskiego.. Inne, ściśle związane z

Dokonując przeglądu dyskusji toczących się wokół pojęcia seksualizacji, rozwaŜanej na poziomie jednostkowym (w odniesieniu do funkcjonowania osoby lub grupy osób),

Uważam , że problem odbioru dzieła literackiego przez młodzież szkol­ n ą należy stale i system atycznie badać, gdyż młodzież się ciągle zmienia i zm

Several ‘open ends’ remain to be studied in the metrology of nail clipping analysis for use in epidemiological studies, such as the impact of long-term exposure to sources