• Nie Znaleziono Wyników

High-Performance mm-Wave and Wideband Large-Signal Amplifiers

N/A
N/A
Protected

Academic year: 2021

Share "High-Performance mm-Wave and Wideband Large-Signal Amplifiers"

Copied!
211
0
0

Pełen tekst

(1)

High-Performance mm-Wave and

Wideband Large-Signal Amplifiers

(2)
(3)

High-Performance mm-Wave and

Wideband Large-Signal Amplifiers

Proefschrift

ter verkrijging van de graad van doctor aan de Technische Universiteit Delft,

op gezag van de Rector Magnificus prof. ir. K.C.A.M. Luyben, voorzitter van het College voor Promoties,

in het openbaar te verdedigen

op donderday 24 oktober 2013 om 12:30 uur door

YI ZHAO

elektrotechnisch ingenieur geboren te Mengzhou, China.

(4)

Samenstelling promotiecommissie: Rector Magnificus voorzitter

Prof. dr. J. R. Long Technische Universiteit Delft, promotor Prof. dr. P. Ferrari Universit´e de Grenoble

Prof. dr. ir. M. M¨oller Universit¨at des Saarlandes Prof. dr. F. Svelto Universit´a degli Studi di Pavia Prof. dr. ir. F. E. van Vliet Universiteit Twente

Prof. dr. R. B. Staszewski Technische Universiteit Delft Dr. M. Spirito Technische Universiteit Delft Reservelid:

Prof. dr. A. Neto Technische Universiteit Delft

ISBN 978-94-6186-204-4

Copyright c 2013 by YI ZHAO

All rights reserved. No part of this publication may be reproduced or distributed in any form of by any means, or stored in a database or retrieval system, without any prior permission of the copyright owner.

Keywords: passive-aided RF and mm-wave circuit design, power amplifier, power combiner, optical modulator driver, distributed amplifier, transformer, transmission line.

(5)
(6)
(7)

In hindsight, my 5-year Ph.D. trajectory can be divided into three periods. The first two and half years of the research was supported in part by the European MEDEA+ project Silicon Analogue to Millimeter-wave Technologies (SIAM). The seed for developing advanced on-chip passive components was sown at the very beginning of that period, and several mm-wave power combiners and amplifiers then came into being. After the SIAM project ended in 2011, I continued my work on the passive-aided large-signal amplifier design, when the wideband distributed amplifier for optical fiber communication was developed. In 2012, I had the opportunity to work for IBM USA on benchmarking the newly developed SiGe-BiCMOS technology. However, only a small part of the work is included in this thesis due to changes in schedule.

I have to admit that my love for power amplifiers did not start at first sight. I had imag-ined a more ‘ambitious’ and challenging task, e.g., developing a fully-integrated, multiband, multifunctional transceiver. However, it did not take me long to realize addressing the fun-damental problems in a circuit building block can be just as challenging. The design of a fully-digital Mach-Zenhder modulator driver later on extends the research scope into the system level, satisfying my desire for a more complicated design from the first place.

The completion of this thesis involves a lot more than just financial resources and my hard work. Many important people have contributed in one way or another to it along the way and I want to express my sincere gratitude towards them.

My first and deepest gratitude goes to my promotor and advisor, Prof. John R. Long. Your knowledge and expertise have inspired me to pursue a Ph.D. degree. It was during one of our last meetings in NXP Semiconductors in Eindhoven you presented me the opportunity to work on the SIAM project. Your guidance, supervision, encouragement, time and efforts in all these years are highly appreciated. John, I owe you much for my professional development, for my (yet) still-improving technical writing skills, and for career opportunities outside of the university. The small talks in Delft, the lunchtime chats at IBM cafeteria, the dinnertime laughters in Vermont, and your sense of humor will also be greatly missed. Also, thank you for making such a big effort to be at my wedding!

I would like to thank the members of my doctoral examination committee for their comments, discussions, time and efforts.

My colleague and officemate, Marco Spirito, thank you for your company in the office. More importantly, thank you for all the fruitful discussions and your tremendous support in testing. I remember very well the many hours we spent in our lab on the 18th floor working on PA

(8)

large-signal measurement. On this matter, I would also like to thank Atef Akhnoukh and Wil Straver. Atef, you may be the most patient and cautious person I have ever known so far. Thanks for your time and efforts on arranging MPW tapeouts and helping me through many testing occasions and catch deadlines. Wil, you are a great technician! I admire your skills and experiences in test fixture assembly. You seem always having a solution to my problems such as using a brass screw as the heat sink for the modulator driver.

There are so many colleagues, Ph.D. students and friends, who have made my life in the Netherlands easier and more pleasant. Wanghua, we always seem to have so much to talk about. Thanks for being here, for your company and understanding. We will continue our friendship in Marvell, in California. Yanyu, we both participated in the SIAM project. Thanks for all the discussions and helpful tips. Coby, our bond rooted long ago in Fudan University in 2001. Thanks for being a friend I can always turn to far away from home. Leonardo, a special thanks to you for being together with me in the American adventure and helping me out whenever needed. Our friendship started late but I hope it grows deep. Yuanyuan, thanks for sharing the apartment with me. The 3 years we spent together in the Beethovenlaan, Delft are very crucial for the progress on my Ph.D. study. Marion, our secretary, thanks for taking care of all the paperwork and bringing positive energy to our group. Antoon, thank you for solving all my computer problems and answering my somewhat related but silly questions. Zu-yao Zhang, the technician from the 13th floor, thanks for helping me out of a couple of emergency situations for deadlines. Koen Buisman, thanks for your support in NPN transistor and temperature measurement. Arturo Santaniello, thanks for your work and efforts on helping me with the PA large-signal measurement. My other Italian colleagues, Gennaro Gentile, Mauro Marchetti, Michele Squillante and Luca, thank you for your kind company and support in the labs, where I spent lots of hours.

I would prefer to mention everybody one by one if possible. Nevertheless, all other staff members and colleagues: Prof. Bogdan Staszewski, Dr. Leo de Vreede, Dr. Wouter Serdijn, Dr. Chris Verhoeven, Loek, Akshay, Nitz, Sharon, Chan, Morteza, Masoud, Massoud, Iman, Ali, Cong, Rui, Duan, Yongjia, Yao, Ruimin, Qinwen, Chi, David, Marijn, Senad, Wannaya, Cees-Jeroen, Mark, Andre and whoever I may forget, thanks for your company and for creating such a nice and pleasant academic environment. Cees-Jeroen and Mark, thanks for the help in translating my propositions and summary.

I spent almost one and a half years in Essex Junction, Vermont, USA, where I met and made some new friends, whom I also wish to thank. Kurt and Donna, ‘my American parents’, you make Nico and me feel very special, feel like part of the family. Thank you for your care, support, and hospitality. Kurt, a special thanks to you for getting my H-1B visa arranged, which smooths my transition from Europe to USA. Jeff and Biz, many thanks for your advice, experience sharing, great food and hospitality. I look forward to going with you to Maine for the lobster festival. Jack, thanks for all your support on tapeouts and at IBM, and thanks to the family as well for being a great host. David, thank you for the opportunity to work with the team and for everything you did for me at IBM. Also, thank you and Mary-Lou for inviting us to the house and I owe you my first experience with the Super Bowl game. Anna, thank you for getting me out of a ‘home-less’ situation in Vermont. Thanks for

(9)

sharing the apartment, for your company, sweetness and everything. Thomas, many thanks for answering all of the HBT modeling related questions, and your company in Vermont. Look forward to meeting you (often) in California. Pui, Yun and Lin, thanks for sharing your life and hanging out with me outside work, and also thanks to Bob and Santosh for joining our team. All my other friends: Peter, Kelly, Will, Yan, Zhenzhen, Yanting, Beth Ann, Andy, Laura, Chaojiang, Daniel and others to be mentioned, thank you all for making my stay in Vermont richer and more wonderful!

My family in China, I am so lucky to have you in my life! Thank you for all of the uncon-ditional love and support you have given me all the past years, for which I am eternally grateful. A special thanks to my brother and sister-in-law, thank you for taking care of our family while I am so far away. My family-in-law in the Netherlands, thank you for loving me as a family, as a daughter, and as a sister.

Nico, my date-boyfriend-fianc´e-husband, your role in my life has changed a few times in the past 5 years, but what a solid support you are to me never changes. Thank you for all of it, for bearing the occasional long working days and nights, for comforting and motivating me, for your hard work in LATEX, SketchUp, Illustrator and translation. Also, thank you for

leaving the family and going on a new adventure with me to the USA!

To myself, I have longed for this moment. Well, it seems about time to close this life chapter. Cherishing all my experiences in the past years, I will start a new journey with great passion and the dream to know more about everything.

Yi Zhao

(10)
(11)

Preface vii

1 Introduction 1

1.1 Motivation . . . 2

1.1.1 Multi-gigahertz Wireless Bandwidth . . . 2

1.1.2 Operational Benefits at mm-Wave Frequencies . . . 3

1.1.3 mm-Wave Standardization and Applications . . . 4

1.1.4 Advantages of Optical Fiber Communications . . . 6

1.2 Research Topics and Design Challenges . . . 7

1.2.1 Electrical Transmitter and RF Power Output . . . 7

1.2.2 Optical Transmitter and MZ Modulator Driver . . . 9

1.2.3 Influences from Technology Scaling . . . 10

1.3 Passive-aided Amplifier Design Objectives . . . 13

1.3.1 mm-Wave Output-combining Power Amplifier . . . 14

1.3.2 Silicon-based Distributed Amplifier Optical Modulator Driver . . . . 14

1.4 Organization of this Thesis . . . 16

References . . . 17

2 Literature Survey of mm-Wave Power Amplifiers 23 2.1 Millimeter-wave Power Amplifiers . . . 23

2.1.1 Basics of Power Amplifiers . . . 23

2.1.2 Bias Classes . . . 25

2.1.3 Power Gain and Efficiency Trade-off . . . 28

2.1.4 Impedance Matching at mm-Wave . . . 30

2.1.5 Reliability . . . 31

2.2 Prior-art Millimeter-Wave Power Amplifiers . . . 32

2.2.1 Single-ended and Differential Topologies . . . 33

2.2.2 Single-cell Amplifiers . . . 33

2.2.3 Output-Combining Amplifiers . . . 36

2.3 On-chip Passive Power Combiners . . . 38

2.3.1 LC Balun Combiner . . . 39

2.3.2 Marchand Balun Combiner . . . 39

2.3.3 Wilkinson Combiner . . . 40

2.3.4 Generic Transmission Line based Combiner . . . 41 xi

(12)

2.3.5 Monolithic Transformer Combiner . . . 44

2.3.6 Conclusions . . . 48

References . . . 48

3 On-chip mm-Wave Power Combiners and Splitters 53 3.1 mm-Wave Monolithic Passive Combiners . . . 53

3.1.1 Design Requirements . . . 53

3.1.2 Interwinding Capacitance . . . 54

3.1.3 Substrate Shielding . . . 56

3.2 Transformer-type Power Combiners . . . 58

3.2.1 Parasitic Compensation . . . 59

3.2.2 Frequency Scalability . . . 62

3.2.3 Prototypes and Characterization . . . 67

3.2.4 Lumped-element Model . . . 73

3.3 S-CPW-type Power Combiners . . . 75

3.3.1 Slow-wave Propagation . . . 75

3.3.2 S-CPW Comparison in SiGe-BiCMOS and SOI-CMOS . . . 77

3.3.3 Prototypes and Characterization . . . 80

3.3.4 Lumped-element Model . . . 87

3.4 Summary . . . 88

References . . . 89

4 Multi-stage and Output-combining Power Amplifier Design 91 4.1 Single-stage Topology Comparison . . . 91

4.1.1 Power Gain, Stability and Reverse Isolation . . . 92

4.1.2 Collector-Emitter Breakdown Voltage . . . 94

4.1.3 Temperature Dependence . . . 97

4.1.4 Output Power and Efficiency . . . 99

4.1.5 Summary . . . 101

4.2 Electrical Stability . . . 101

4.2.1 Neutralization . . . 101

4.2.2 Parasitic Reduction and Equalization . . . 104

4.2.3 On-chip Isolation . . . 106

4.3 Power-combining PA Prototypes . . . 106

4.3.1 Prototype Specifications . . . 107

4.3.2 Top-level Design Considerations . . . 107

4.3.3 Transformer Output Combining and Interstage Coupling . . . 110

4.3.4 60 and 77/79 GHz-band SiGe-BiCMOS PA Prototypes . . . 115

4.3.5 60 GHz-band CMOS-SOI PA Prototype . . . 117

4.4 Study Case - Cascode, Output-Combining PA . . . 119

References . . . 122

(13)

5.1 Large-signal Measurement Setup . . . 125

5.1.1 Three-step Calibration Procedure . . . 125

5.1.2 V- and W-band Test Setups . . . 126

5.2 PA Prototype Performance . . . 127

5.2.1 Experimental Results . . . 127

5.2.2 Performance Comparisons . . . 139

References . . . 139

6 A Digitally-Controlled, Differential Distributed Amplifier MZM Driver 141 6.1 Mach-Zehnder Modulator Driver . . . 141

6.1.1 Design Challenges . . . 142

6.1.2 Literature Review . . . 143

6.2 Limitations of the Conventional MZ Modulator Driver . . . 144

6.2.1 Bandwidth Limitations . . . 145

6.2.2 Maximum Number of DA Stages . . . 147

6.3 Digitally-Controlled DA Modulator Driver . . . 147

6.3.1 Distributed LA with a Periodically-Loaded Output Line . . . 150

6.3.2 Clock and Data Timing Control . . . 151

6.3.3 Quadrature Clock Generation . . . 153

6.3.4 Clock Phase Control . . . 156

6.3.5 Digital Latch and Limiting Amplifier Stage . . . 156

6.3.6 Output Transmission Line and On-chip Back Termination . . . 157

6.4 Prototype Characterization . . . 162

6.4.1 Test Setup . . . 163

6.4.2 Experimental Results . . . 164

6.4.3 Performance Comparison . . . 167

6.4.4 Prototype Power Dissipation Analysis . . . 168

6.5 Summary . . . 171

References . . . 171

7 Conclusions and Recommendations 175 7.1 Major Contributions . . . 175

7.2 Recommendations for Future Work . . . 178

References . . . 181 A Thru-Reflect-Line De-embedding 183 References . . . 184 Summary 187 Samenvatting 191 List of Publications 195 Curriculum Vitae 197

(14)
(15)

Introduction

Enjoying the flexibility and comforts of the wireless world, consumer demand for increased data throughput never pauses. The proliferation of 3G/4G wireless communication devices and the strong investment in their research and development drive more data usage and spectrum congestion over time, which in turn encourages alternative and complementary technologies to be developed. Wireless communications in millimeter-wave (mm-wave) bands promise multi-gigabit transmission rate (e.g., exceeding 1 Gb/s) over a short range because of the availability of several gigahertz bandwidth [1] [2]. Apart from channel capacity and the virtues of wireless connections, it is also exempt from license fees and restrictions in the (unlicensed) 60 GHz band [3]. The emerging interests and intensive research efforts from both academia and industry envisage high-volume consumer and commercial network applications for the technology in the near future, such as WiGig [4], automotive radar [5] and wireless backhaul [6].

Meanwhile, reliable and higher date rates (e.g., > 100 Gb/s) are expected from its wired counterpart, where optical fiber communication receives considerable attention. It uses light instead of the electrical transmission of signals to provide up to terabit per second data rates across thousands of kilometers [7] [8]. It is enabled by the less than 0.25 dB/km of attenuation around the emission wavelength of 1.55 µm [9], and the broadband merits of optical fibers. The unprecedented speed expands its applications to metropolitan area, fiber-to-the-home (FTTH), long-span backhaul and submarine networks [10] [11].

Recently, an emerging technology called ‘mm-wave fiber-wireless’ (Fi-Wi) integrates the optical fiber network with mm-wave wireless infrastructure [12] [13]. Bimodal Fi-Wi systems incorporate the strengths of both technologies, and are expected to thrive by offering high transmission speed, throughput and flexible infrastructure.

However, despite the appealing transmission rate promised by mm-wave wireless and optical fiber communications, they also pose many challenges for their hardware realizations due to the high operating frequency and wide bandwidth requirements. Historically, mm-wave and optical electronics have been dominated by III–V compound semiconductor technolo-gies, such as gallium arsenide (GaAs) and indium phosphide (InP) [14] [15] [16]. However, for low-cost, mass-market electronics, the integration density and cost/volume advantages demonstrated by silicon technologies are superior. Technology scaling provides a transistor cut-off frequency, fT, or gain-bandwidth product above 200 GHz for advanced SiGe-BiCMOS

(16)

57 58 59 60 61 62 63 64 65 66 2160 MHz Freq, in GHz China Japan USA/Canada/Korea EU 240 MHz 1728 MHz 120 MHz 71 76 81 86 92 94 100 MHz 95 Automotive radar

E-band E-band E-band

Wireless backhaul in the E-band

Figure 1.1: Millimeter-wave spectrum allocations across 57–95 GHz.

and CMOS processes [17] [18]. However, high-speed I/O, RF and mm-wave circuit integra-tion is challenged by the concomitant decrease in breakdown voltage and gain available in the desired frequency band. On the other hand, up to 10 back-end-of-line (BEOL) metal layers are offered by advanced silicon technologies, which opens up new opportunities for high-quality passive components on-chip (e.g., low-loss and compact magnetic components). This dissertation explores the feasibility of realizing high-performance RF and mm-wave circuits by employing sophisticated on-chip passive components advantageously in silicon technologies, in other words, passive-aided RF and mm-wave circuit design. The passive and integrated circuit prototypes developed in this work to validate this methodology are pri-marily realized in SiGe-BiCMOS technologies, however, the proposed topologies and design techniques are also applicable to CMOS processes.

1.1

Motivation

The advancement of a technology is pushed by its useful technical characteristics and pulled by its potential commercial value and application. This section elaborates the motivation for the work carried out in this thesis from both of these perspectives.

1.1.1 Multi-gigahertz Wireless Bandwidth

Theoretically, channel capacity increases with increasing channel bandwidth according to Shannon-Hartley theorem [19]. Therefore, in order to increase throughput and avoid conges-tion in the ISM bands at 2.4 GHz and 5–6 GHz, wireless communicaconges-tions could migrate to spectrum allocations offering a wider bandwidth at higher frequencies. The four, 1728 MHz wide channels defined by the IEEE 802.15.3c standard are highlighted in Fig. 1.1, with the band from 59–64 GHz available in almost all countries around the world [20] [21]. The E-band covers 71–76, 81–86 and 92–95 GHz, offering 12.9 GHz of available bandwidth (note that 100 MHz is excluded across 94–94.1 GHz). Government regulatory organizations (e.g., the FCC in the US, CEPT in Europe and ACMA in Australia) assign the 71–76 and 81–86 GHz bands for point-to-point wireless communications [22]. The 76–81 GHz band is reserved by many countries for medium- and long-range automotive radar applications [23] [24].

(17)

0 20 40 60 80 100 120 Frequency, in GHz 0.001 0.01 0.1 1 10 100 At te n u a ti o n , in d B/ km Oxygen Water vapour 0 mm/h 5 mm/h 20 mm/h 200 mm/h 100 mm/h 50 mm/h

Figure 1.2: Atmospheric attenuation of RF energy vs. frequency [26].

Apart from multi-gigahertz bandwidth and global coverage, operating license fees are also important considerations for commercialization from cost and market acceptance perspec-tives. Hence, the unlicensed 60 GHz band and lightly-licensed E band (e.g., $75 for a 10-year license in the US [25]) are favored in this regard for the next-generation of wireless commu-nications.

1.1.2 Operational Benefits at mm-Wave Frequencies

Atmospheric absorption of radio waves varies significantly with frequency, as shown in Fig. 1.2 [27]. Compared to the negligible attenuation at 5 GHz (i.e., 0.01 dB/km), sig-nals in the vicinity of 60 and 120 GHz are subject to high oxygen absorption and rain fade of RF energy, e.g., 12 dB/km across 57–63 GHz. Thus, these bands cannot support long-distance communications, but are well-suited for short-range, point-to-point networks. The high energy absorption and the free-space propagation losses due to a small antenna aperture at mm-wave frequencies (e.g., 88 dB losses at 60 GHz across a 10 m link) isolate one transceiver from another, providing immunity to interference, thereby relaxing linearity requirements on the receiver front-end components. It also offers the potential for frequency reuse over distance using the cellular networking concept.

Despite the decreasing antenna aperture with increasing frequency, the short wavelength (λ = v/f , where v is the phase speed and f represents frequency) at mm-wave frequencies permits compact on-chip passive components design (e.g., λ/4 resonators), facilitating the implementation of fully-integrated transceivers and reducing cost. The phased-array system, which utilizes multiple antennas and transceivers for beam steering, improves directivity,

(18)

compensates for high path losses and increases data rates [28]. Through N -element beam steering, the transmitted and received signal power is increased by 20 · log10N , while the

received noise level is increased by 10 · log10N . It leads to an (ideal) improvement on

signal-to-noise ratio and receiver sensitivity by 10 · log10N , thereby increasing channel capacity.

The decreasing antenna separation (i.e., proportional to λ [28]) with increasing frequency and the small form factor at mm-wave frequencies support compact system designs. A 2×2 phased-array system at 60 GHz realizes 5 Gb/s data rate with 8.75 mm2 chip area [29], as

compared to 200 Mb/s and 18 mm2 for its 2.45 GHz multiple-input-multiple-output (MIMO)

counterpart [30]. One of the most challenging tasks for mm-wave phased-array systems is the low-cost antenna and packaging solutions [31]. The antenna size shrinks with increasing frequency, encouraging its on-chip realization [32]. However, it significantly increases chip area with the number of array cells and may suffer from low gain and radiation efficiency [31]. Alternatively, the antennas could be embedded into a package at the expense of packaging complexity (e.g., low-loss interconnection between chip and antenna) [31].

1.1.3 mm-Wave Standardization and Applications

Point-to-point, multi-Gb/s wireless communications over a short range (< 10 m) is the targeted application for the license-free 60 GHz-band spectrum. SiBEAM (Silicon Image’s subsidiary) has demonstrated a 32-element, 60 GHz phased-array system capable of 6–7 Gb/s non-line of sight communication across a 10 m range [33]. The IEEE 802.15.3c task group standardizes mm-wave radio for high-data-rate wireless personal-area networks (WPANs) [34]. The European computer manufacturers association (ECMA) TC–48 standard works towards using the 60 GHz band for bulk data and multimedia streaming applications [35]. The WirelessHD consortium is also promoting 60 GHz technology for speed, high-quality, uncompressed audio/video streaming [36]. Several Wi-Fi chip makers, such as Intel, Broadcom and Qualcomm-Atheros have established the wireless gigabit alliance (WiGig) in order to supplement Wi-Fi networks at 2.4 GHz and 5 GHz with 60 GHz communications in data networking (i.e., tri-band WiFi) [37]. In early 2013, Qualcomm-Atheros and Wilocity, a leading developer of 60 GHz multi-gigabit wireless chipsets, launched industry’s first tri-band WiFi reference design at the International Consumer Electronics Show (CES).

Unlike 60 GHz, the E-band across 70–100 GHz is capable of offering Gb/s transmission rates over a much longer distance, because there is a transmission window where the attenuation is below 1 dB/km (see Fig. 1.2). It is thus well-suited for wireless backhaul in urban and residential areas across a few kilometers, such as for enterprise/campus LAN connectivity. GigaBeam’s WiFiber radio [38] is one of the commercial products which utilize the E-band for wireless backhaul. Potential applications also include: disaster recovery, fast access to patient data in hospitals, and backup solution for wired networks, etc. For example, when the service within metro access networks is unavailable due to natural disasters or other disturbances, the wireless bridge could restore connections easily and quickly.

Fig. 1.3 shows a typical example of mm-wave wireless applications. In the outdoor environ-ment, communications over the 70/80 GHz band provide the backhaul required to extend

(19)

Figure 1.3: Application example of mm-wave wireless communications [39].

Figure 1.4: Bosch’s LRR-3 third generation long-range radar sensor [40].

the existing network without the need for wired or optical infrastructure, for example, the 1.5 Gbps link for building-to-building connectivity. On a smaller scale, the 60 GHz radio im-proves the indoor entertainment quality, where scenarios like uncompressed high definition video streaming, wireless gaming, and wireless gigabit Ethernet may become feasible. Automotive radar in the 77/79 GHz band is a major application of the mm-wave technology [41] [42]. The increasing awareness of road safety has made automotive radar commonplace in modern vehicles. Several companies, including Infineon Technologies [43] and Freescale Semiconductor [44] supply silicon-based automotive radar chipsets at 77 GHz to the

(20)

mar-Figure 1.5: A fiber-to-the-x network [50].

ket. Systems such as adaptive cruise control, collision warning, blind-spot detection, and automatic steering and braking intervention employ radar sensing at mm-wave frequencies. Bosch’s third generation LRR radar (LRR3) is the world’s first 77 GHz radar sensor utilizing SiGe technology, which has a box size of only 77 × 74 × 58 mm3 as shown in Fig. 1.4 [45].

1.1.4 Advantages of Optical Fiber Communications

Although advanced mm-wave radio systems can provide wireless ‘fiber-like’ connectivity over a few kilometers, the performance depends on weather conditions (see Fig. 1.2). Thus, optical fiber connectivity is better-suited for long-haul and all-weather communications. Wavelength-division multiplexing (WDM) [46] combines different wavelengths of light onto a single optical fiber. It thus allows optical network expansion without the need for more fiber cables, giving it flexibility and scalability. The aggregate data throughout on a single-mode fiber could reach 100 Tb/s using multi-level multi-dimensional modulation formats and advanced transmitters, e.g., the polarization-division multiplexed quadrature-amplitude modulation (PDM-QAM) [47] in digital transmitters using orthogonal frequency-division multiplexed (OFDM) signals [48]. The transmission rates are anticipated to be easily above 100 Tb/s when space division multiplexing (SDM) and multi-mode multi-core fibers are utilized [49], which is beyond the capability of other technologies.

Unlike electrical transmission, the dielectric property of optical fiber offers several unique ad-vantages. It presents low fiber-to-fiber crosstalk and is less susceptible to security problems. The light weight and small size simplifies upgrading of infrastructure because no additional space is demanded when optical fibers replace copper cables. It is also immune to electro-magnetic interference, adverse temperature and moisture conditions, which is beneficial for applications in hazardous environments (e.g., undersea and explosive areas).

Also, it is considered best for backbone/core networks in metropolitan areas (where it in-terconnects a number of local-area networks, see Fig. 1.6) because of its superior reliability, security and capacity. Another emerging application is the fiber-to-the-x (FTTX) networks, such as fiber-to-the-home (FTTH) and fiber-to-the-building (FTTB) as shown in Fig. 1.5,

(21)

Figure 1.6: A hybrid optical-wireless network [12].

driven by consumer desire for quality of service and increasing speed beyond what wireless networks can offer.

Optical fiber links potentially can be used in the same application areas as mm-wave wireless technology, but it is not a substitute for the latter because it is not as flexible. Moreover, in places where the initial infrastructure is not equipped with optical fiber, its application is hindered due to the high cost in fiber installation. Thus, the hybrid optical-wireless network shown in Fig. 1.6 becomes a promising solution. The feeding network uses optical fiber, e.g., the connections between a central office (CO) and a remote node (RN), and between a remote node and a base-station (BS), while the edge network from BS to customer units uses (mm-wave) wireless connections. This combination utilizes the speed and reliability advantages of optical fiber in the network core and the flexibility of wireless communications for ‘last mile’ connections.

1.2

Research Topics and Design Challenges

Integrated transceivers with sufficient link margin are required in order to utilize each tech-nology to its full capacity and ensure reliable communications. This section analyzes the technical bottlenecks in the mm-wave electrical and Gb/s optical transmit chains, and de-fines the research topics in this work. The design challenges arising from technology scaling are described in detail.

1.2.1 Electrical Transmitter and RF Power Output

Fig. 1.7 shows the simplified block diagram of a wireless broadband transceiver. The hetero-dyne receiver avoids flicker noise at baseband, and double downconversion with a properly

(22)

a) Electrical transmitter

b) Electrical receiver

Figure 1.7: Simplified block diagram of a wireless broadband transceiver.

selected intermediate frequency offers sufficient image-rejection and adjacent channel sup-pression [51]. On the transmit side, a power amplifier is required for RF signal amplification in order to increase the range and margin of the communication link. The PA must deliver sufficient RF power to the antenna (i.e., a large voltage swing across 50 Ω) with gain ad-equate to relax transmit driver requirements and high efficiency in order to reduce power consumption. In addition, the targeted mm-wave applications require the PA to operate across a few gigahertz bandwidth (e.g., 57–64 GHz).

It is observed that the RF output power and efficiency achievable on chip decreases with increasing frequency [52]. Silicon monolithic PAs rarely deliver more than 15 dBm and 15% power-added efficiency (PAE) from a single amplifier cell in the mm-wave bands [53] [54] [55]. For example, a SiGe-BiCMOS, 60 GHz-band PA produces 13.5 dBm saturated output power and 9.8% peak-PAE from a 3.3 V supply voltage at 58 GHz [53]. Moreover, inadequate RF power output at mm-wave frequencies complicates antenna and system design [56], as a larger number of antenna and transceiver elements is often used to increase gain, which increases the cost, complexity and DC power consumption, and could also affect bandwidth. For example, commercial gigabit links at 60 GHz, such as the CMOS transceivers developed by SiBEAM [33] and Intel [57], employ 32-element array antennas and beamforming to overcome high path losses. The influences from technology scaling and the technical difficulties in realizing high-performance mm-wave PAs are elaborated in Section 1.2.3 and Section 2.1 of Chapter 2.

(23)

a) Optical transmitter using external modulation

b) Optical receiver

Figure 1.8: Simplified block diagram of an optical transceiver.

Therefore, mm-wave PAs with RF power output greater than 15 dBm are needed in order to reduce the number of antenna cells required in a phased array from the complexity and fabrication cost perspective. However, the targeted level of RF power output corresponds to a voltage swing of 6.3 Vp−p across the 50 Ω load (i.e., 20 dBm power output), which

far exceeds the breakdown constraint of transistors in high-performance silicon technology. For example, the open-base collector-emitter breakdown voltage of a HBT (i.e., BVCEO) is

smaller than 1.6 V for 130 nm SiGe-BiCMOS in [17]).

1.2.2 Optical Transmitter and MZ Modulator Driver

The equivalent of Fig. 1.7 in the optical domain is shown in Fig. 1.8. An optical fiber transmitter converts an electrical input to an optical signal and launches it into the fiber. On the receive side, optical-to-electrical conversion is realized by a photodetector for further signal processing and data recovery. Laser diodes with external modulation are typically used as the optical source for high-capacity and long-span transmission systems [58].

The optical intensity of the semiconductor laser can be modulated internally, however, direct (i.e., internal) modulation via the bias current causes frequency chirp (i.e., output frequency

(24)

varies with time) and phase modulation [59]. These impairments are mitigated by exter-nal modulation, where the laser diode is biased to produce a continuous wave output (i.e., unmodulated) [59] [60]. Among external optical modulators, the Mach-Zehnder (MZ) inter-ferometer and electroabsorption (EA) modulators are the most commonly used in practice. The MZ modulator has advantages over the latter in terms of broader optical bandwidth and zero or tunable frequency chirp [61]. However, the traveling-wave MZ modulator, which changes the phase of light by applying electric field, requires a large switching voltage, Vπ

(e.g., 5.1 V [62]), from the driver stage. The switching voltage Vπ is the half-wave voltage

required to induce a phase change of 180◦.

On-off keying modulation formats (e.g., non-return-to-zero and return-to-zero) have been used historically in optical communication systems. As the data rate increases, a more effi-cient modulation format such as quadrature phase-shift keying (QPSK) is preferred because it transmits more bits per symbol. Differential QPSK (DQPSK) can tolerate noise or distor-tion owing to chromatic dispersion or polarized mode dispersion [63], and thus it is favored for high-data-rate optical communications. In order to generate DQPSK modulation, both the I and Q arms of the modulator need a differential modulator driver with a balanced out-put. The driver circuit must also be broadband in order to preserve signal fidelity, e.g., the minimum required bandwidth is approximately 7.5 GHz for a 10 Gb/s system using on-off keying modulation [59]. Thus, in order to encode the optical carrier reliably, a broadband, balanced MZ modulator driver with a differential output voltage swing of at last Vπ needs

to be developed.

1.2.3 Influences from Technology Scaling

Although the application and system architecture are different, it is recognized in Sections 1.2.1 and 1.2.2 that both the electrical and optical transmitters demand the development of a PA circuit and a MZ modulator driver capable of broadband operation and delivering a wide output voltage swing in order to ensure communication quality. Silicon technology scaling increases gain-bandwidth product, however, the concomitant trade-offs also penalize circuit performance, imposing a number of technical difficulties for mm-wave and broadband IC implementations. Wideband mm-wave PAs delivering greater than 20 dBm output power are realized exclusively in compound semiconductor technologies such as InP, e.g., 26.1 dBm in the W band from [64]. Also, the previously reported and commercial MZ modulator drivers are typically implemented in III–V compound technologies with ≥ 5 V breakdown to provide 6 Vp−p or larger output (e.g., GaAs-InP in [65] [66]). Lower-cost implementations in silicon

remain a challenge.

Therefore, innovations in system architecture, RF circuit design, on-chip passive components and low-cost packaging are required. This research focuses on the design of silicon-based PA and MZ modulator driver realizations which offer performance comparable to their III–V counterparts. Several relevant influences from process scaling are analyzed as follows.

(25)

A. Reduced Breakdown Voltage

Millimeter-wave circuits built in advanced silicon technologies can exploit the increasing fT

from device scaling, but the maximum tolerable voltage across the device terminals decreases with scaled dimensions. Johnson’s limit (i.e., fT·BVCEO = constant [67], where fT is

tran-sistor cut-off frequency) imposes a trade-off between bipolar device speed and breakdown voltage, e.g., BVCEO < 1.6 V biased at peak-fT of 240 GHz in 130 nm SiGe-BiCMOS [17].

The gate oxide breakdown voltage of a MOSFET also decreases to well below 2 V as the SiO2 layer thickness becomes 2 nm or less [68]. The supply voltage (limited by breakdown)

partly determines the RF output power from a PA circuit. Similarly, breakdown voltage also constrains the maximum output voltage swing available to drive a MZ optical modulator. The PA and MZ driver prototypes in this work are implemented in SiGe-BiCMOS technolo-gies, thus an investigation of the proper device configuration in a circuit is needed in order to exceed the BVCEO boundary. It is proven that grounding the base terminal of a BJT shunts

the impact ionization current to ground, thereby extending the device safe operating range [69] [70]. For example, simulation predicts that a common-base topology (CB) can increase the breakdown limit from 1.6 V to 2.6 V and thus boost the RF power output by roughly 4 dB from a 130 nm SiGe-BiCMOS technology. Thus, the CB configuration is exploited in the circuit prototypes developed in this work for greater RF power and voltage swing (see Chapters 4–6).

B. Reduced Power Gain with Frequency

The decreased maximum available or stable gain (MAG or MSG) with increasing frequency penalizes PA efficiency (e.g., ∼ 10 dB at 60 GHz vs. > 20 dB at 10 GHz in 130 nm SiGe-BiCMOS). Power-added efficiency (PAE) is defined as

P AE = Pout− Pin PDC = (1 − 1 Gp )Pout PDC , (1.1)

where Gp is the PA power gain, Pin and Pout are the power fed to the PA input and

de-livered to the load impedance (typically a 50 Ω antenna), respectively, and PDC is the DC

power consumption for a given Pout. More power gain could be realized by cascading several

amplifier stages, but this comes at the expense of higher DC power consumption and com-plicated interstage coupling networks, which also decreases the overall PAE. This trade-off is examined in more detail in Chapter 4 of this thesis. A new multi-stage PA topology with the proper gain distribution is capable of realizing 20 dB overall power gain, while minimizing the PAE degradation from the driver stages (see Chapters 4 and 5). The PA topology is demonstrated in two different SiGe-BiCMOS technologies.

A MZ modulator driver with a 6 Vp−p differential output swing and 100 mVp−p input

sensi-tivity must provide 35.6 dB voltage gain when linear amplifiers are used, which requires at least 3 gain stages in cascade (note that a lower technology node with peak-fT of 60 GHz

is selected for the 10 Gb/s demonstrator for its relatively large breakdown voltage [71], see Section 1.3.2). However, compared to a single stage, a cascade of N stages decreases the

(26)

operating bandwidth by a factor of √21/N − 1 [72], which is undesirable for broadband

op-tical applications. A limiting amplifier relaxes the bandwidth requirement, and can provide sufficient gain by scaling up the operating current. Thus, it is exploited in the MZ modulator driver circuit developed in this work (see Chapter 6).

C. Stability

Unconditional stability needs to be guaranteed for all ICs developed in this work. It is likely that the conditionally-stable region extends into the desired operating bands at mm-wave frequencies due to the decreased output-to-input isolation with frequency, which thus requires an additional network to stabilize the circuit. Improved stability often comes at the expense of power gain, voltage swing or power consumption (e.g., using resistive loading [73] or RC compensation [74]), which is undesirable for a high-performance PA (see Chapter 4). Proper layout techniques in this work minimize and equalize the parasitics in series with the base terminals of CB stages and guarantee stability (see Chapter 4).

Thermal stability is another problem in advanced silicon technology and especially in high-current applications. The trend towards a larger high-current density for scaled devices (e.g., 20 mA/µm2) drives up junction temperature in a confined device area due to heat dissipation

limits. Transistors biased near peak-fT are subject to thermal runaway if proper care is not

taken. Base/emitter resistor ballasting can be used in order to promote thermal stability in a single-stage amplifier at the expense of reduced breakdown voltage or degraded RF performance if configured single-ended (see Chapter 4). This work explores resistor ballasting in a differential topology, and examines the use of the cascode configuration for better thermal behavior (see Chapters 4–6).

D. Parasitics

On-chip interconnects and the associated wiring parasitics, which may be negligible at lower frequencies, become critical at higher frequencies. For example, a 30 µm long interconnect introduces roughly 30 pH inductance, which is j11.3 Ω at 60 GHz but just j0.19 Ω at 1 GHz. The increased reactance with frequency could cause instability when in series with the base of a common-base stage, or extra losses if it is in the signal path (e.g., in a common-emitter configuration). Additionally, interconnections on chip behave like ‘electrically long’ trans-mission lines in the mm-wave range, and need proper modeling [20]. Over/under-estimating these parasitics may decrease first-pass silicon success rate (e.g., circuit performance below specifications). Bondpad and interconnect parasitics, especially inductance, are predicted by electromigration simulations in the design phase for all of the circuit demonstrators.

E. On-chip Passive Components

Passive components such as monolithic transformers and transmission lines are widely used on chip to perform impedance matching and interstage coupling at mm-wave frequencies [75] [76]. Compact passive dimensions are possible because of the shrinking wavelength

(27)

with increasing frequency. Also, the inductance (L) required to resonate out a fixed ca-pacitance (C) scales down in proportional to the square of the operating frequency, f0 (i.e.,

L = 1/(2πf0

C)2), saving valuable chip area. Moreover, dedicated mm-wave technologies

further facilitate passive developments by offering thick metal options and increased dielec-tric thickness between the substrate and top interconnect metal layers for reduced energy coupling to the substrate [17].

Therefore, it is anticipated that the above-mentioned limitations of active devices in deep submicron silicon technologies could be mitigated or overcome by integrating high-quality passive components and manipulating them in unique circuit topologies. Thus, this thesis aims to demonstrate state-of-the-art, silicon-based, mm-wave PA and DA-based optical MZ modulator driver by developing novel passive components on chip for this application. Despite the fact that a CB configuration extends breakdown voltage for the chosen circuit demonstrators as described above, their design approach and emphasis still differ. The mm-wave PA prototypes are developed in 130 nm and 90 nm SiGe-BiCMOS technologies, where the > 200 GHz gain bandwidth product has the potential to meet the band-pass, 5–13 GHz bandwidth requirement. However, the RF power output from a single-stage is constrained by the low supply/breakdown voltage, compared to older technology nodes (e.g., a 250 nm process). Thus, passive power combining is exploited in the mm-wave PA design in order to boost the output power without compromising the efficiency and bandwidth significantly. By contrast, the frequency response of the MZ modulator driver needs to be predominantly low-pass with a passband ranging from a few tens of kilohertz to multi-gigahertz. A proper selection of silicon technology and the utilization of a CB configuration could meet the output voltage swing requirement for the multi-Gb/s MZ modulator driver. However, the parasitic capacitance from large-area devices capable of carrying high currents again limits the bandwidth and maximum data rate [77], although the limiting amplifier operation re-laxes bandwidth requirement. A traveling-wave distributed amplifier (DA) topology, which allows serial signal combining using passive, artificial transmission lines, has bandwidth ad-vantages over conventional lumped amplifier topologies [78] [79]. Thus, it is employed in the MZ modulator driver circuit for broadband operation and amplification. However, several disadvantages of the conventional DA topology compromises performance and constrain its design flexibility (Section 1.3.2 and Chapter 6 elaborate on this subject). A new, digitally-controlled MZ modulator driver circuit is developed in this work in order to mitigate the performance impairments in conventional DAs (see Chapter 6).

1.3

Passive-aided Amplifier Design Objectives

This section introduces the output-combining, mm-wave PA and the distributed amplifier based MZ modulator driver circuit topologies which are capable of achieving improved per-formance with the aid of passive components. The specific design difficulties in each topology are analyzed separately, and their design objectives are defined.

(28)

1.3.1 mm-Wave Output-combining Power Amplifier

In principle, greater power in a PA may be generated by simply increasing the transistor area and DC bias current of the final stage for a given output voltage swing. However, RF power output tends to saturate, or even drop, because the optimal load impedance decreases to the point where losses in the output matching network become larger than any increase in output power (i.e., on the order of 2–3 Ω).

A review of the recent literature reveals that on-chip output combining using a passive power combiner is an effective method to increase the RF power [52] [80] [81]. However, the published output-combining PAs at mm-wave frequencies do not reach high power output and high efficiency simultaneously (note that the maximum saturated output power and peak-PAE at 60 GHz of the published PA designs can hardly reach 20 dBm and 20%, respectively [52]). Losses from the output combiner itself directly decrease the power level and thus the PA efficiency. For example, assuming a single PA cell with 14 dBm output power, 20% peak-PAE and sufficient power gain, the total output power from a 4-way combining is 19 dBm and peak-PAE is 14.9% when the combiner loss is 1 dB. The output power drops to 18 dBm and PAE to 12.6% when the combiner has 2 dB loss.

Thus, one objective in this part of the work is to investigate and design low-loss and compact passive power combiners on silicon (e.g., SiGe-BiCMOS and CMOS-SOI). The other objec-tive is to develop a monolithic, power-combining, mm-wave PA topology capable of realizing both high output power and efficiency simultaneously. The PA prototypes target 20 dBm output power, larger than 15% peak-PAE and 20 dB power gain in band.

1.3.2 Silicon-based Distributed Amplifier Optical Modulator Driver

A distributed amplifier (DA) incorporates synthetic transmission lines in order to extend its operating bandwidth. A simplified circuit model of the conventional DA is illustrated in Fig. 1.9, where a pair of transmission lines is used to series connect several (unilateral, e.g., cascode) amplifier stages represented by the transconductance stages, Gm. The capacitive

parasitics (i.e., Cin and Cout) which limit DA bandwidth are absorbed in the transmission

line design. Note that the Miller effect in an actual amplifier topology is accounted for in the lumped capacitance calculation. Dissipation at the input (e.g., rgg for a FET, or rbb′ and re of an HBT) is modeled by rin, while rout represents the output resistance of the Gm stage.

The outputs of individual stages are added constructively when the delay between input and output lines are matched properly. Termination resistors ZT 1 and ZT 2 minimize unwanted

reflections from the transmission lines, and the amplifier stages are biased via resistor ZT 2.

A survey of the recent published designs reveals that conventional DAs have been the domi-nant realization of the MZ modulator driver circuit [66] [65] [82]. However, the precise analog matching required between the passive input and output transmission lines for the correct signal phases constrains its design flexibility. The bandwidth of the driver circuit is also lim-ited by the input transmission line and the capacitive parasitics from the back termination resistors. The cut-off frequency of the input line, which is inversely proportional to the square

(29)

Figure 1.9: Simplified circuit model of a conventional distributed amplifier.

root of its equivalent shunt capacitance, is significantly decreased when loaded by the para-sitic capacitance from the amplifier stages [78]. The 50 Ω impedance matching required for the loaded input and output lines may translate to an unloaded line characteristic impedance above 150 Ω, which is nearly impossible to realize in modern (Bi)CMOS technologies due to the thin dielectric and back-end-of-line (BEOL) stack thicknesses, especially when a sub-strate shield is applied to reduce energy coupling to the conductive subsub-strate. Moreover, losses from the input line attenuate signal, cause dispersion, and limit the maximum number of DA gain stages as well [78]. The back termination resistor capable of conducting all the DC and AC currents often presents considerable capacitive parasitics (e.g., > 150 fF for 0.5 W power dissipation), and is typically excluded from on-chip integration [83].

Thus, the performance impairments inherent in the conventional DAs, which are analyzed in detail in Chapter 6, require innovations at the system level in order to mitigate them, e.g., eliminating the need for a second transmission line at the input to provide the matched signal delay required by the output line. Therefore, the primary objective in this part of the work is to explore different circuit architectures, propose a new power resistor design for on-chip termination, and develop a monolithic SiGe-BiCMOS Mach-Zehnder modulator driver circuit capable of achieving both high gain (i.e., > 20 dB, low input sensitivity) and high output swing (i.e., differential 6 Vp−p) over a wide bandwidth (i.e., > 7 GHz for 10 Gb/s

speed). The IBM’s 180 nm 7WL SiGe-BiCMOS process offers BVCEO of 3.3 V, thick metal

option and thick BEOL metal stack, and thus is chosen to implement the MZ modulator driver demonstrator circuit [71].

It is generally advantageous to maximize the capacity per channel in order to reduce the number of channels or transfer more data. However, it leads to more stringent bandwidth requirement on optical and electronic devices and needs better optical fiber to suppress chromatic dispersion effects [84]. As pointed out in Section 1.1.4, wavelength division mul-tiplexing technology can overcome these problems and increase the overall data rates. It can also lengthen the lifetime of existing service infrastructure (e.g., 10 Gb/s [85] [86]). The targeted communication rate in this part of the work is 9.9–12.5 Gb/s. The driver demon-strator topology is expected to offer scalability to provide a wider output voltage swing (e.g., ≥ 10 Vp−p differentially) and extend the number of communication channels when needed.

(30)

The proof-of-concept demonstrator is also expected to be frequency scalable, capable of migrating to 40 Gb/s or higher when more aggregate capacity is needed.

1.4

Organization of this Thesis

Chapter 2 begins with the analysis of multi-dimensional trade-offs in a PA design. The influences from different biasing modes (i.e., class-A, B, AB, C, etc.) on PA performance are also discussed and compared. It concludes that a power-combining amplifier is necessary to overcome the difficulties highlighted in Section 1.2. A review of the mm-wave PA circuits and on-chip power combiners published in the recent literature is conducted. The problems associated with on-chip transformer combiners are identified and explained.

Chapter 3 describes design details and characterization of the two classes of mm-wave com-biners/splitters developed in STM’s 130 nm SiGe-BiCMOS and 65 nm CMOS-SOI technolo-gies. The frequency-scalable transformer combiners in SiGe-BiCMOS successfully suppress port impedance imbalance caused by parasitic interwinding capacitance. Coplanar wave-guides (CPWs) and slow-wave propagation created by adding a floating shield underneath CPW conductors are exploited in the 65 nm CMOS-SOI technology for wavelength reduc-tion. A compact, low-loss, 2-way slow-wave CPW (S-CPW) combiner is fully characterized and discussed in detail.

Chapter 4 presents the multi-stage, multi-path, power-combining, mm-wave PA architecture developed in this work. The first half of the chapter emphasizes the active circuit design, in which several single-stage amplifier topologies are compared. Performance limitations in a single PA gain stage are recognized and addressed individually. These include: overcoming the breakdown voltage constraint, promoting unconditional stability without gain or power consumption penalties, and parasitic reduction. These active and passive circuit technologies are applied to several PA prototypes, which include: one SiGe-BiCMOS PA and one CMOS-SOI PA in the 60 GHz band, and a scaled-up SiGe-BiCMOS PA operating in the 77/79 GHz band. The possibility of using alternative gain topologies and power combiner layouts is also studied in IBM’s newly developed 90 nm SiGe-BiCMOS technology [87].

Chapter 5 addresses the large-signal PA measurement topic and reports the prototype ex-perimental results. The prototypes are fully characterized across a wide frequency range and different supply voltages. A comparison of performance with designs published in the recent literature is also provided.

Chapter 6 presents the implementation and characterization of a distributed amplifier based Mach-Zehnder modulator driver in IBM’s 180 nm 7WL SiGe-BiCMOS technology. It starts with a detailed explanation of the drawbacks inherent in conventional DAs. The operating principle of the proposed fully-digital driver architecture, which uses digital latches to replace the passive input transmission line, is described in detail. The required signal delay at DA output is provided by the variable-phase retiming clocks for the digital latches. A full-custom, n+/n-well power resistor with low capacitive parasitics is developed to handle hundreds of

(31)

milliwatt power. Design considerations for the quadrature clock generation, limiting amplifier DA gain stage, output transmission line and termination resistor are described in detail. A summary of the major research contributions in this work and a few recommendations for future work compose Chapter 7 and complete this dissertation.

References

[1] P. Cheolhee and T. S. Rapaport, “Short-range wireless communications for next-generation networks: UWB, 60 GHz millimeter-wave WPAN, and Zigbee,” IEEE Wireless Communications, vol. 14, no. 4, pp. 70–78, Aug. 2007.

[2] P. Smulders, “Exploiting the 60 GHz band for local wireless multimedia access: prospects and future directions,” IEEE Communications Magazine, vol. 40, no. 1, pp. 140–147, Jan. 2002.

[3] R. L. van Tuyl, “Unlicensed millimeter wave communications. A new opportunity for MMIC technology at 60 GHz,” in Proc. of GaAs IC Symposium, Nov. 1996, pp. 3–5.

[4] C. J. Hansen, “WiGiG: multi-gigabit wireless communications in the 60 GHz band,” IEEE Wireless Communications, vol. 18, no. 6, pp. 6–7, Dec. 2011.

[5] J. Wenger, “Automotive radar – status and perspectives,” in Proc. of Compound Semiconductor Inte-grated Circuit Symposium, Nov. 2005, pp. 21–24.

[6] J. Wells, “Faster than fiber: The future of multi-G/s wireless,” IEEE Microwave Magazine, vol. 10, no. 3, pp. 104–112, May 2009.

[7] J. Sinsky and P. Winzer, “100-Gb/s optical communications,” IEEE Microwave Magazine, vol. 10, no. 2, pp. 44–57, Apr. 2009.

[8] M. J. OMahony, C. Politi, D. Klonidis, R. Nejabati, and D. Simeonidou, “Future optical networks,” Journal of Lightwave Technology, vol. 24, no. 12, pp. 4684–4696, Dec. 2006.

[9] B. J. Ainslie, K. J. Beales, D. M. Cooper, C. R. Day, and J. D. Rush, “Monomode fibre with ultra-low loss and minimum dispersion at 1.55 µm,” Electronics Letters, vol. 18, no. 19, pp. 842–844, Sept. 1982. [10] P. W. Shumate, “Fiber-to-the-home: 1977–2007,” Journal of Lightwave Technology, vol. 26, no. 9, pp.

1093–1103, May 2008.

[11] Y. Aoki, Y. Inada, T. Ogata, L. Xu, S. Zhang, F. Yaman, and E. Mateo, “Next-generation 100 Gb/s undersea optical communications,” IEEE Communications Magazine, vol. 50, no. 2, pp. 50–57, Feb. 2012.

[12] C. Lim, A. Nirmalathas, M. Bakaul, P. Gamage, K. Lee, Y. Yang, D. Novak, and R. Waterhouse, “Fiber-wireless networks and subsystem technologies,” Journal of Lightwave Technology, vol. 28, no. 4, pp. 390–405, Feb. 2010.

[13] N. Ghazisaidi, M. Maier, and C. Assi, “Fiber-wireless (FiWi) access networks: A survey,” IEEE Com-munications Magazine, vol. 47, no. 2, pp. 160–167, Feb. 2009.

[14] H. L. Hung, G. M. Hegazai, T. T. Lee, F. R. Phelleps, J. L. Singer, and H. C. Huang, “V-band GaAs MMIC low-noise and power amplifiers,” IEEE Transactions on Microwave Theory and Techniques, vol. 36, no. 12, pp. 1966–1975, Dec. 1988.

[15] K. W. Kobayashi, L. T. Tran, S. Bui, J. Velebir, D.Nguyen, A. K. Oki, and D. C. Streit, “InP based HBT millimeter-wave technology and circuit performance to 40 GHz,” in Proc. of IEEE Microwave and Millimeter-wave Monolithic Circuits Symposium, 1993, pp. 85–88.

[16] H. Suzuki, K. Watanabe, K. Ishikawa, H. Masuda, K. Ouchi, T. Tanoue, and R. Takeyari, “Very-high-speed InP/InGaAs HBT ICs for optical transmission system,” IEEE Journal of Solid-State Circuits, vol. 33, no. 9, pp. 1313–1320, Sept. 1998.

[17] G. Avenier, M. Diop, P. Chevalier, G. Troillard, N. Loubet, J. Bouvier, L. Depoyan, N. Derrier, M. Buczko, C. Leyris, S. Boret, S. Montusclat, A. Margain, S. Pruvost, S. T. Nicolson, K. H. K. Yau, N. Revil, D. Gloria, D. Dutartre, S. P. Voinigescu, and A. Chantre, “0.13µm SiGe BiCMOS tech-nology fully dedicated to mm-wave applications,” IEEE Journal of Solid-State Circuits, vol. 44, no. 9, pp. 2312–2321, Sept. 2009.

(32)

[18] P. Chevalier, D. Gloria, P. Scheer, S. Pruvost, F. Gianesello, F. Pourchon, P. Garcia, J.-C. Vildeuil, A. Chantre, C. Gamier, O. Noblanc, S. P. Voinigescu, T. O. Dickson, E. Laskin, S. T. Nicolson, T. Chal-vatzis, and K. H. K. Yau, “Advanced SiGe BiCMOS and CMOS platforms for optical and millimeter-wave integrated circuits,” in Proc. of IEEE-CSIC, Nov. 2006, pp. 12–15.

[19] C. E. Shannon, “A mathematical theory of communication,” The Bell System

Tech-nical Journal, vol. 27, pp. 379–423, 623–656, Jul., Oct. 1948, online

http://cm.bell-labs.com/cm/ms/what/shannonday/shannon1948.pdf.

[20] J. R. Long, Y. Zhao, W. Wu, M. Spirito, L. Vera, and E. Gordon, “Passive circuit technologies for mm-wave wireless systems on silicon,” IEEE Transactions on Circuits and Systems I: Regular papers, vol. 59, no. 8, pp. 1680–1693, Aug. 2012.

[21] J. R. Long, W. L. Chan, Y. Zhao, and M. Spirito, “Silicon VLSI catches the millimeter wave,” IEEE Communications Magazine, vol. 49, no. 10, pp. 182–189, Oct. 2011.

[22] (2009) Radio frequency channel arrangements for fixed service systems operating in the bands 71–76 GHz and 81–86 GHz. approved by the working group Spectrum Engineering (SE). Electronic Communications Committee (ECC) within CEPT. Dublin. [Online]. Available: http://www.erodocdb.dk/docs/doc98/official/pdf/REC0507.pdf

[23] (2001, Apr.) A review of automotive radar systems-devices and regulatory frameworks. Australian Communications Authority. [Online]. Available: http://www.acma.gov.au/webwr/radcomm/frequency planning/spps/0104spp.pdf

[24] Code of federal regulation, title 47, chapter 1, part 15, subpart F, no. 15.515. USA Federal Communications Commission (FCC) regulation on 24 GHz UWB SRR. [Online]. Available: http: //www.gpo.gov/fdsys/pkg/CFR-2010-title47-vol1/pdf/CFR-2010-title47-vol1-part15-subpartF.pdf [25] [Online]. Available: http://wireless.fcc.gov/services/index.htm?job=licensing&id=millimeter wave. [26] J. Hansryd and P. E. Eriksson. (2009) High-speed mobile backhaul demonstrators. Ericsson

Review. [Online]. Available: http://www.ericsson.com/ericsson/corpinfo/publications/review/2009 02/ files/Backhaul.pdf

[27] R. K. Crane, “Fundamental limitations caused by RF propagation,” Proceedings of the IEEE, vol. 69, no. 2, pp. 196–209, Feb. 1981.

[28] A. Hajimiri, H. Hashemi, A. Natarajan, X. Guan, and A. Komijani, “Integrated phased array systems in silicon,” Proceedings of the IEEE, vol. 93, no. 9, pp. 1637–1655, Sept. 2005.

[29] M. Tabesh, J. Chen, C. Marcu, L. Kong, A. Kang, A. M. Niknejad, and E. Alon, “A 64 nm CMOS 4-element sub-34 mW/element 60 GHz phased-array transceiver,” IEEE Journal of Solid-State Circuits, vol. 46, no. 12, pp. 3018–3032, Dec. 2011.

[30] A. Behzad, K. A. Carter, H. M. Chien, S. Wu, M. A. Pan, C. P. Lee, Q. Li, J. C. Leete, S. Au, M. S. Kappes, Z. Zhou, D. Ojo, L. Zhang, A. Zolfaghari, J. Castanada, H. Darabi, B. Yeung, A. Rofougaran, M. Rofougaran, J. Trachewsky, T. Moorti, R. Gaikwad, A. Bagchi, J. S. Hammerschmidt, J. Pattin, J. J. Rael, and B. Marholev, “A fully integrated MIMO multiband direct conversion CMOS transceiver for WLAN applications (802.11n),” IEEE Journal of Solid-State Circuits, vol. 42, no. 12, pp. 2795–2808, Dec. 2007.

[31] Y. P. Zhang and D. Liu, “Antenna-on-chip and antenna-in-package solutions to highly integrated millimeter-wave devices for wireless communications,” IEEE Transactions on Antennas and Propa-gation, vol. 57, no. 10, pp. 2830–2841, Oct. 2009.

[32] F. Gutierrez, S. Agarwal, K. Parrish, and T. S. Rappaport, “On-chip integrated antenna structures in CMOS for 60 GHz WPAN systems,” IEEE Journal on Selected Areas in Communications, vol. 27, no. 8, pp. 1367–1378, Oct. 2009.

[33] S. Emami, R. F. Wiser, E. Ali, M. G. Forbes, M. Q. Gordon, X. Guan, S. Lo, P. T. McElwee, J. Parker, J. R. Tani, J. M. Gilbert, and C. H. Doan, “A 60GHz CMOS phased-array transceiver pair for multi-Gb/s wireless communications,” in Technical Digest of IEEE-ISSCC, Feb. 2011, pp. 164–166.

[34] Part 15.3: wireless medium access control (MAC) and physical layer (PHY) specifications for high rate wireless personal area networks (WPANs): amendment 2: millimeter-wave based alternative physical layer extension, IEEE 802.15.3c, Oct. 2009.

(33)

[35] ECMA-387, High rate 60 GHz PHY, MAC and PALs. [Online]. Available: http://www. ecma-international.org/publications/files/ECMA-ST/ECMA-387.pdf

[36] (2009, Aug.) Overview of wirelessHD specification version 1.0a. [Online]. Available: http: //www.wirelesshd.org/pdfs/WirelessHD-Specification-Overview-v1%200%204%20Aug09.pdf

[37] (2010, Jul.) WiGig white paper, defining the future of multi-gigabit wireless communications. [Online]. Available: http://wirelessgigabitalliance.org/

[38] GigaBeam WiFiber G1.25, gigabit ethernet millimeter wave radio, installation & operations guide. [Online]. Available: http://www.fwasolutions.com/files/public/Gigabeam%20G1.25%20Installation% 20and%20Operations%20Manual%20031706.pdf

[39] U. Madhow, “Networking at 60 GHz: the emergence of multiGigabit wireless,” in Proc. of Second International Conference on Communication Systems and Networks, Jan. 2010, pp. 1–6.

[40] [Online]. Available: http://www.bosch-presse.de/presseforum/details.htm?txtID=3693&locale=en [41] J. Hasch, E. Topak, R. Schnabel, T. Zwick, R. Weigel, and C. Waldschmidt, “Millimeter-wave technology

for automotive radar sensors in the 77 GHz frequency band,” IEEE Transactions on Microwave Theory and Techniques, vol. 60, no. 3, pp. 845–860, Mar. 2012.

[42] L. Maurer, G. Haider, and H. Knapp, “77 GHz SiGe based bipolar transceivers for automotive radar applications – an industrial perspective,” in Proc. of NEWCAS, Jun. 2011, pp. 257–260.

[43] [Online]. Available: http://www.infineon.com/cms/en/product/sensors-and-wireless-control/ radar-solutions-inautomotive/channel.html?channel=db3a30431ddc9372011e213568da414b

[44] [Online]. Available: http://www.freescale.com/webapp/sps/site/overview.jsp?code=AUTRMWT [45] Chassis system control, LRR3: 3rd generation long-range radar sensor. [Online].

Avail-able: http://www.bosch-automotivetechnology.com/media/db application/downloads/pdf/safety 1/ en 4/lrr3 datenblatt de 2009.pdf

[46] H. Ishio, J. Minowa, and K. Nosu, “Review of status of wavelength-division-multiplexing technology and its applications,” Journal of Lightwave Technology, vol. 2, no. 4, pp. 448–463, Aug. 1984.

[47] P. J. Winzer, “High-spectral-efficiency optical modulation formats,” Journal of Lightwave Technology, vol. 30, no. 24, pp. 3824–3835, Dec. 2012.

[48] D. Qian, M.-F. Huang, E. Ip, Y.-K. Huang, Y. Shao, J. Hu, and T. Wang, “High capacity/spectral efficiency 101.7-Tb/s WDM transmission using PDM-128QAM-OFDM over 165-km SSMF within C-and L-bC-ands,” Journal of Lightwave Technology, vol. 30, no. 10, pp. 1540–1548, May 2012.

[49] J. Sakaguchi, Y. Awaji, N. Wada, A. Kanno, T. Kawanishi, T. Hayashi, T. Taru, T. Kobayashi, and M. Watanabe, “Space division multiplexed transmission of 109-Tb/s data signals using homogeneous seven-core fiber,” Journal of Lightwave Technology, vol. 30, no. 4, pp. 658–665, Feb. 2012.

[50] [Online]. Available: http://www.iskrauraltel.ru/en/access/solutions/fiber to the home/Pages/default. aspx

[51] B. Razavi, “Design of millimeter-wave CMOS radios: A tutorial,” IEEE Transactions on Circuits and Systems I, vol. 56, no. 1, pp. 4–16, Jan. 2009.

[52] A. M. Niknejad, D. Chowdhury, and J. S. Chen, “Design of CMOS power amplifier,” IEEE Transactions on Microwave Theory and Techniques, vol. 60, no. 6, pp. 1784–1796, Aug. 2012.

[53] A. Hamidian and G. Boeck, “60 GHz wide-band power amplifier,” in Proc. of IEEE-BCTM, Oct. 2009, pp. 47–50.

[54] U. Pfeiffer, S. Reynolds, and B. Floyd, “A 77 GHz SiGe power amplifier for potential applications in automotive radar systems,” in Proc.of IEEE-RFIC, Jun. 2004, pp. 91–94.

[55] A. Siligaris, Y. Hamada, C. Mount, C. Raynaud, B. Martineau, N. Deparis, N. Rolland, M. Fukaishi, and P. Vincent, “A 60 GHz power amplifier with 14.5 dBm saturation power and 25% peak-PAE in CMOS 65 nm SOI,” IEEE Journal of Solid-State Circuits, vol. 45, no. 7, pp. 1286–1294, Jul. 2010. [56] K. C. Huang and D. J. Edwards, Millimeter wave antennas for Gigabit wireless communications. John

Wiley & Sons Ltd, 2008.

[57] E. Cohen, M. R. M. Cohen, O. Degani, S. Ravid, and D. Ritter, “A CMOS bidirectional 32-element phased-array transceiver at 60 GHz with LTCC antenna,” IEEE Transactions on Microwave Theory and Techniques, vol. 61, no. 3, pp. 1359–1375, Mar. 2013.

(34)

[58] A. A. Bergh and J. A. Copeland, “Optical sources for fiber transmission systems,” Proceedings of the IEEE, vol. 68, no. 10, pp. 1240–1247, Oct. 1980.

[59] E. S¨ackinger, Broadband Circuits for Optical Fiber Communication. Wiley, 2005.

[60] F. Koyama and K. Iga, “Frequency chirping in external modulators,” Journal of Lightwave Technology, vol. 6, no. 1, pp. 87–93, Jan. 1988.

[61] G. L. Li and P. K. L. Yu, “Optical intensity modulators for digital and analog applications,” Journal of Lightwave Technology, vol. 21, no. 9, pp. 2010–2030, Sept. 2003.

[62] K. Noguchi, O. Mitomi, and H. Miyazawa, “Millimeter-wave Ti:LiNbO3 optical modulators,” Journal of Lightwave Technology, vol. 16, no. 4, pp. 615–619, Apr. 1998.

[63] A. H. Gnauck and P. J. Winzer, “Optical phase-shift-keyed transmission,” Journal of Lightwave Tech-nology, vol. 23, no. 1, pp. 115–130, Jan. 2005.

[64] D. L. Ingram, Y. C. Chen, J. Kraus, B. Brunner, B. Allen, H. C. Yen, and K. F. Lau, “A 427 mW, 20% compact W-band InP HEMT MMIC power amplifier,” in Proc. of IEEE-RFIC, 1999, pp. 95–98. [65] Y. Baeyens, N. Weimann, P. Roux, A. Leven, V. Houtsma, R. F. Kopf, Y. Yang, J. Frackoviak, A. Tate,

J. S. Weiner, P. Paschke, and Y. Chen, “High gain-bandwidth differential distributed InP D-HBT driver amplifiers with large 11.3Vpp output swing at 40 Gb/s,” IEEE Journal of Solid-State Circuits, vol. 39,

no. 10, pp. 1697–1705, Oct. 2004.

[66] H. Shigematsu, M. Sato, T. Hirose, and Y. Watanabe, “A 54-GHz distributed amplifier with 6-Vpp

output for a 40-Gb/s LiN bO3 modulator driver,” IEEE Journal of Solid-State Circuits, vol. 37, no. 9,

pp. 1100–1105, Sept. 2002.

[67] E. Johnson, “Physical limitations on frequency and power parameters of transistors,” IRE International Convention Record, vol. 13, pp. 27–34, Mar. 1965.

[68] J. H. Stathis, “Physical and predictive models of ultrathin oxide reliability in CMOS devices and cir-cuits,” IEEE Transactions on Device and Materials Reliability, vol. 1, no. 1, pp. 43–59, Mar. 2001. [69] C. M. Grens, P. Cheng, and J. D. Cressler, “Reliability of SiGe HBTs for power amplifiers-Part I:

large-signal RF performance and operating limits,” IEEE Transactions on Device and Materials Reliability, vol. 9, no. 3, pp. 431–439, Sept. 2009.

[70] J. Kraft, B. Loffler, N. Ribic, and E. Wachmann, “BVCER– increased operating voltage for SiGe HBT,”

in Proc. of Reliability Physics Symposium, Mar. 2006, pp. 507–511.

[71] N. Feilchenfeld, L. Lanzerotti, D. Sheridan, R. Wuthrich, P. Geiss, D. Coolbaugh, P. Gray, J. He, P. Demag, J. Greco, T. Larsen, V. Patel, M. Zierak, W. Hodge, J. Rascoe, J. Trappasso, B. Orner, A. Norris, D. Hershberger, B. Voegeli, S. Voldman, R. Rassel, V. Ramachandrian, M. Gautsch, E. Eshun, R. Hussain, D. Jordan, S. S. Onge, and J. Dunn, “High performance, low complexity 0.18 µm SiGe BiCMOS technology for wireless circuit applications,” in Proc. of IEEE-BCTM, 2002, pp. 197–200. [72] T. H. Lee, The Design of CMOS Radio-Frequency Intergrated Circuits, 2nd ed. Cambridge University

Press, 2004.

[73] S.-W. Kim, I.-S. Chang, W.-T. Kang, and I.-P. Kyung, “Improving amplifier stability through resistive loading below the operating frequency,” IEEE Transactions on Microwave Theory and Techniques, vol. 47, no. 3, pp. 359–362, Mar. 1999.

[74] D. Chowdhury, P. Reynaert, and A. M. Niknejad, “A 60GHz 1V + 12.3dBm transformer-coupled wide-band PA in 90nm CMOS,” in Technical Digest of IEEE-ISSCC, Feb. 2008, pp. 560–635.

[75] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE Journal of Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sept. 2000.

[76] T. Jensen, V. Zhurbenko, V. Krozer, and P. Meincke, “Coupled transmission lines as impedance trans-former,” IEEE Transactions on Microwave Theory and Techniques, vol. 55, no. 12, pp. 2956–2965, Dec. 2007.

[77] T. Y. K. Wong, A. P. Freundorfer, B. C. Beggs, and J. E. Sitch, “A 10 Gb/s AlGaAs/GaAs HBT high power fully differential limiting distributed amplifier for III-V Mach-Zehnder modulator,” IEEE Journal of Solid-State Circuits, vol. 31, no. 10, pp. 1388–1393, Oct. 1996.

Cytaty

Powiązane dokumenty

Summary. Mediating all international economic relations of the subjects of the world economy, foreign exchange relations are experiencing profound qualitative changes together

Jako pozy- tywne wymienił zwiększanie się liczby parafii, unormowanie sytuacji Kościoła grekokato- lickiego w prawie kościoła powszechnego (pełnomocnictwa dla

DESIGN AND VERIFICATION OF THE CHIP THERMAL MODEL: THE ASSESSMENT OF A POWER MODULES.. RESISTANCE TO HIGH

Niknejad, “A compact 1V 18.6dBm 60GHz power amplifier in 65nm CMOS,” in IEEE International Solid-State Circuits Conference Digest of Technical Papers. (ISSCC),

Amongst other elements that recur in the loose context of remembering the dead are madness and loneliness. The last poem of the set, however, ‘Strumienicca pa żyłach

You will see that our fourth session this year is devoted lo 'In-Service Assessment Strategies', which reflects the strong trend lo increased life now required o f many platforms

Vromans, “A compact 12-watt high- efficiency 2.1-2.7 GHz class-E GaN HEMT power amplifier for base stations,” in IEEE MTT-S International Microwave Symposium Digest, Jun. Jeong,

The private security sector in the Republic of Montenegro was regulated in 2005, with the adoption of the law on the Protection of People and Property, governing the criteria