• Nie Znaleziono Wyników

Prosty przemysłowy sterownik mikroprocesorowy falownika napięcia MSI

N/A
N/A
Protected

Academic year: 2022

Share "Prosty przemysłowy sterownik mikroprocesorowy falownika napięcia MSI"

Copied!
13
0
0

Pełen tekst

(1)

ZESZY TY N A U K O W E PO LITEC H N IK I ŚLĄ SK IEJ Seria: E LE K TR Y K A z. 139

1994 N r kol. 1247

T o m asz B IS K U P

P R O S T Y P R Z E M Y S Ł O W Y S T E R O W N IK M IK R O P R O C E S O R O W Y F A L O W N IK A N A P IĘ C IA M S I

Streszczenie. Przedstaw iono układ prostego, przem ysłow ego sterow nika m ikroproce­

sorow ego falownika napięcia z m odulacją szerokości im pulsów , zrealizow anego w system ie Z80. O pisana została część układow a i program ow a w raz z uzasadnieniem w ybranego sposobu m odulacji. Zam ieszczono w yniki pom iarów oscyloskopow ych prądów i napięć falow nika obciążonego silnikiem w różnych stanach pracy. Przedstaw iono zam ierzenia w zakresie dalszego rozw oju układu.

S IM P L E IN D U S T R IA L M IC R O P R O C E S S O R C O N T R O L L E R O F P W M V O L T A G E F E D IN V E R T E R

Sum m ary. A simple, industrial m icroprocessor's controller for PW M converter , based on m icroprocessor Z80 is presented in this paper. T here are described hardw are and softw are part, w ith relevant justification o f chosen PW M algorithm . T he author dem onstrates results obtained by an experim ent w ith converter and three-phrase motor. T here are prospect tasks o f developing the described system also presented in the paper.

E IN F A C H E R IN D U S T R IE L L E N R E G L E R E IN E S P W M U M F O R M E R

Z usam m enfassung. Im A rtikel w urde ein einfacher industriellen R egler eines PW M U m form er m it Z80 M ikroprozessor dargestellt. Es w urden H ardw are, Softw are und die B egründung des M odulationsalgorithm us beschrieben. D ie Ergebnisse der Zusam m enarbeit eines U m form ers m it 3-phasigen Induktionsm otoren w urden gezeigt. Es w urden auch die V orschläge für w eitere Entw icklung des bearbeitenden System s besprochen.

(2)

1. W S T Ę P

O pisyw any sterow nik je st w ynikiem prac, jak ie podjęto w firm ie EN EL w zw iązku z uruchom ieniem produkcji w 1991 roku typoszeregu tranzystorow ych falow ników napięcia z m odulacją szerokości im pulsów . Są to falowniki przeznaczone do napędów z silnikiem indukcyjnym klatkowym .

Duże dośw iadczenie w budow ie układów sterow ania (a w tym i sterow ania przekształtni­

ków energoelektronicznych), w których stosow ano m ikroprocesor firmy Zilog Z80, było czynnikiem decydującym o w yborze tego system u [1-4].

Praca ta m iała um ożliw ić zapoznanie się z rzeczyw istym i problem am i pow stającym i przy budow ie przem ysłow ych w ersji tego typu urządzeń. Pierwsza w ersja układu pow stała w październiku 1990 roku [3] i w ciągu ostatnich dwóch lat byta m odernizow ana [4]. W artykule opisuje się w ersję ostateczną przem ysłow ą.

Przy projektow aniu i budow ie układu przyjęto następujące założenia:

- sterow nik oparty na m ikroprocesorze Z80, - w szystkie elem enty system u dostępne w kraju, - w ym agane zabezpieczenie przed aw arią systemu, - duża odporność na zakłócenia,

- zadawanie częstotliw ości w yjściow ej: napięciem 0..5 V, (-5..+5 V dla wersji naw rotnej), prądem 4..20 m A oraz za pom ocą transm isji szeregowej, - zakres częstotliw ości w yjściow ej 5..55 Hz,

- w yśw ietlanie częstotliw ości w yjściow ej, sygnalizow anie osiągnięcia: częstotliw ości m inim alnej, m aksym alnej, prądu m aksym alnego i częstotliw ości zadanej, - m ożliw ość nastawy: szybkości zadaw ania częstotliwości, częstotliw ości maksym alnej,

m inim alnej oraz prądu m aksym alnego.

(3)

Prosty przem ysłow y. 187

R y s . 1. Schem at blokow y układu napędow ego F ig .l. PW M inverter for induction m otor drive

2. BUDOWA UKŁADU

Schem at blokow y układu napędow ego został zam ieszczony na rys 1. Falow nik zbudow any je s t na tranzystorach IGBT. Sygnałam i w ejściow ym i dla sterow nika są: prąd w obw odzie pośredniczącym falow nika oraz sygnał blokady zadajnika częstotliw ości pojaw iający się przy zbyt dużym napięciu Uc na kondensatorze. Zabezpieczenie urządzenia przed aw arią system u m ikroprocesorow ego to układ 'w atchdog' (stróż), k tóry przy braku sygnału testującego od m ikroprocesora pow oduje w yłączenie falow nika. W spółpraca z użytkow nikiem je st osiągana, dzięki zespołow i w yśw ietlaczy 7-segm entow ych i diod elektrolum inescencyjnych (LED ). Zadaw anie częstotliw ości zgodnie z założeniam i m oże być realizow ane z trzech źródeł, natom iast do nastawy specjalnych param etrów w ykorzystyw ane są potencjom etry w ieloobrotow e.

(4)

G łów nym zadaniem sterow nika je s t generow anie im pulsów sterujących tranzystorami (rys 1,2). U rządzenie działa w układzie otw artym i na podstaw ie częstotliw ości zadanej f zacj ustalana je st w artość napięcia zadanego zgodnie z funkcją Vzaj= G ( f 2a(j) dla !f=const i pracy na biegu jałow ym silnika. D odatkow ym elem entem zabezpieczającym falow nik przed nadm iernym , długotrw ałym prądem je st regulator prądu.

Rys. 2. Schem at blokow y układu regulacji Fig. 2. C ontrol schem e

3.. METODA MODULACJI

N a podstaw ie w artości częstotliw ości zadanej i napięcia zadanego generow ane są im pulsy sterujące tranzystoram i w ten sposób, aby te w ielkości były dotrzym ane. Istnieje w iele różnych m etod m odulacji szerokości im pulsów [5], ale ze w zględu na istotne zalety, przyjęto w tym rozw iązaniu m etodę m odulacji szerokości im pulsów sygnałem nośnym . Im pulsy sterujące otrzym ujem y w niej w w yniku porów nania sygnału nośnego z sygnałem m odulującym (zw ykle je s t to sinusoida). N ajlepsze efekty osiąga się przy zastosow aniu

(5)

Prosty przem ysłow y. 189

m odulacji dw ustronnej [6] (sygnał nośny o kształcie trójkątnym , rys. 3). U m ożliw ia ona otrzym anie dwóch ciągów inform acji - stanów przełączanych zaw orów i czasu trw ania każdego stanu (rys.4). Z m iana częstotliw ości napięcia w yjściow ego dokonyw ana je st poprzez zm ianę częstotliw ości sygnału m odulującego, a w artość skuteczna pierw szej harm onicznej napięcia przez zm ianę am plitudy tego sygnału [5]. A by uniknąć pow staw ania subharm onicznych w w idm ie napięcia w yjściow ego falow nika przyjęto m etodę synchronizacji sygnału nośnego z m odulującym i w zw iązku z tym stosunek tych częstotliw ości je st liczbą całkow itą. D odatkow o ustalono, że będzie to liczba nieparzysta podzielna przez 3, która w dolnej strefie częstotliw ości 5..40 Hz w ynosi 39, a w górnej 40..55 H z - 27.

Rys. 3. M odulacja naturalna - sygnał nośny trójkątny, sygnał m odulujący sinusoidalny Fig. 3. Sine-triangle PW M

R ealizacja takiej m odulacji daje ogrom ne zalety zw łaszcza dla prostego system u m ikropro­

cesorowego. O kazuje się, że ciąg inform acji o kolejnych przełączeniach tranzystorów nie zależy- ani od częstotliw ości sygnału m odulującego, ani od stosunku am plitudy sygnału m odulującego i nośnego o ile je s t on m niejszy od 1. D odatkow o czasy między przełączeniam i, dla stałego stosunku am plitudy sygnału m odulującego i nośnego, są proporcjonalne dla różnych częstotliw ości i co bardzo w ażne, pow tarzają się sześć razy na okres. Ł ącznym efektem je st znaczne ograniczenie inform acji potrzebnej do zrealizow ania takiego sposobu m odulacji w układzie cyfrowym .

(6)

Rys. 4. Sposób generacji im pulsów sterujących przy w ykorzystaniu m odulacji naturalnej Fig. 4. M ethod o f generating PW M signals

4. S T R U K T U R A U K Ł A D U

Uproszczony schem at blokow y układu sterow nika przedstaw ia rys 5. Z astosow ano w nim typow e elem enty m ikroprocesorow e w prow adzone na rynek przez firmy IN T EL i ZILOG . Zasadniczym elem entem składow ym je st m ikroprocesor Z80B, taktow any sygnałem zegarow ym o częstotliw ości 6 M H z. Program i stałe dane znajdują się w pam ięci EPRO M 27C256 o pojem ności 32 kB. N ieodłącznym elem entem system u je st pam ięć R A M 6116 0 pojem ności 2 kB. W spółpraca z użytkow nikiem m ożliw a jest dzięki w izualizacji pew nych w ielkości (f2aci , f min , fm ax • ¡max)' za P°mocą zespołu w yśw ietlaczy 7-segm entow ych 1 diod LED, sterow anych przez układ w ejść-w yjść rów noległych 8255. G enerow ane są trzy im pulsy sterujące tranzystoram i po jed n y m dla każdego półm ostka. W układzie dopasow ującym tw orzonych je s t sześć sygnałów , przy czym układow o w ym uszane je st przesunięcie sygnału załączającego je d e n z tranzystorów i w yłączającego drugi w tym sam ym półm ostku, aby zapobiec zw arciom skrośnym .

O dm ierzanie odcinków czasu m ożliw e je s t dzięki układow i licznikow em u 8253, który po odliczeniu zadanego czasu generuje sygnał przerw ania INT do m ikroprocesora. N a w ejście

(7)

Prosty przem ysłow y.. 191

zegarow e układu podaw ana je s t częstotliw ość 1,5 M Hz, przez co rozdzielczość wynosi 0,67 |is.

Do przetw arzania w ielkości analogow ych zastosow ano przetw ornik A /C A D C 0808, charakteryzujący się 100 p s czasem konwersji. Posiada on 8 kanałów w ejściow ych analogow ych i stosunkow o łatw o m oże być dołączony do system u m ikroprocesorow ego. Z m ierzonych w artości najw ażniejsze, to: częstotliw ość zadana ( dw a kanały) i prąd w obw odzie pośredniczącym . D odatkow o w ykorzystane są cztery kanały dla czterech potencjom etrów nastawczych.

TxD

UKŁAD TRAN. > P A M I Ę Ć EPROM PAMIĘĆ RAM

SZEREGOWEJ MIKROPROCESOR Z-80

RxD 27C256 6116

8251 *

-

p o d . 32kB p o d . 2kB

<=

I Ż .

Z y

\ 7

T y

i z .

m G I S T R Q L Q flORESOHfl. DftNYCH X SYG . STERUJĄCYCH

z y

I Ż .

zy

\ 7

z y

BUFOR

3— STANOWY U KŁAD

LICZNIKOWY UKŁAD WEJ/WYJ

RÓWNOLEGŁYCH PRZETWORNIK

A/ C 8b/8kart

74LS244 8253 8255 ADC 0808

•f=l. EMHz

KUOWIRTURfl

TT

B B B

I Ż

- w A*

b s p ół LED—óu

POTENCJOMETRY NASTAWCZE

U K ŁAD DOPASOW SYG. STER.

TRANZYST XGBT

ZESPÓL WYŚWIETLACZY

i|TS|T4|T3|T2|T

Rys. 5. Schem at blokow y sterow nika m ikroprocesorow ego Fig. 5. Inverter controller system

5. STRUKTURA PROGRAMU

R ealizacja całego procesu sterow ania falow nikiem napięcia zorganizow ana jest program ow o, na podstaw ie w cześniej w yliczonych czasów przełączeń, przechow yw anych w pam ięci EPROM . Struktura taka w ynika z niem ożliw ości w yliczania na bieżąco tych czasów.

(8)

Ze w zględu na wcześniej w ym ienione zalety przyjętej m etody m odulacji, liczba potrzebnych do jej realizacji w artości czasów między przełączeniam i jest m ocno zredukow ana. W ystarcza bow iem tylko 1/6 w szystkich w artości z pow odu ich pow tarzalności, np. dla strefy niższej częstotliw ości zadanej (stosunek częstotliw ości fali nośnej do m odulującej wynosi 39) otrzym ano: 234 czasy m iędzy przełączeniam i, z czego tylko 39 w artości je st niezbędnych, a dodatkow o ze w zględu na ich "sym etrię środkową", w ystarczy zgrom adzić 20, bo resztę uzyskam y przez "odbicie lustrzane" w zględem "środka",jaki stanowi w łaśnie ta dw udziesta wartość.

Rys. 6. Schem at blokow y program u głów nego Fig. 6. Błock diagram o f m ain program

Czasy m iędzy przełączeniam i zostały w yliczone dla jednej częstotliw ości fali modulującej (25,6 Hz) i w szystkich m ożliw ych do uzyskania napięć w yjściow ych falownika. Pozwala to na pracę nie tylko na charakterystyce ^ za c F ^ d za d ) dla !P=const, ale rów nież na m odyfikow anie w artości napięcia przy danej częstotliw ości. Sekw encje przełączanych tranzystorów zgrom adzone są w pamięci EPRO M i nie podlegają zm ianom , dla strefy niższej częstotliw ości je st ich 234, natom iast dla wyższej 162.

(9)

Prosty przem ysłowy. 193

Uproszczony schem at blokow y program u głów nego znajduje się na rys. 6. Po starcie system u w szystkie elem enty sprzęgające i pom ocnicze ustaw iane są w odpow iednie tryby pracy, następnie odczytuje się w artości nastaw ianych za pom ocą potencjom etrów w ielkości częstotliw ości m aksym alnej, m inim alnej, prądu m aksym alnego oraz szybkości działania zadajnika.

Rozruch układu falow nika je s t realizow any w ten sposób, że początkow a częstotliw ość zadana f 2ad wynosi 5 Hz, niezależnie od nastaw y częstotliw ości m inim alnej f min i w czasie pierwszej fazy następuje stopniow e zw iększanie wartości skutecznej napięcia w yjściow ego falownika. Po osiągnięciu w łaściw ej w artości napięcia dla częstotliw ości zadanej f zacj = 5 Hz,

Pętla głów na program u składa się z odw ołań do podprogram ów . Początkow o kontrolow any je st sygnał awarii, występującej przy nadm iernym , długotrw ałym prądzie I j i m inim alnej prędkości obrotow ej. W przypadku jeśli ten sygnał jest nieaktyw ny, realizow ana jest procedura zadajnika. W jej skład w chodzi blok regulatora prądu oraz w łaściw y zadajnik generujący w razie potrzeby tablicę czasów m iędzy przełączeniam i dla nowej wartości częstotliw ości zadanej i w łaściw ego napięcia. M ożliw ość ta istnieje ze w zględu na proporcjonalności długości tych czasów dla tego sam ego napięcia i om ów ionem u wcześniej sposobowi m odulacji rys. 3 i 4.

N owo obliczona tablica ładow ana je s t do pam ięci RAM. Proces ten je s t stosunkow o długotrw ały i dlatego w je g o czasie musi istnieć poprzednia tablica czasów , która je st nadal w ykorzystyw ana, aż do chw ili

zakończenia w yliczania now ej.

I W Y M I A N A R E J E S T R O M I

S E K W E N C J I

Rys. 7. Schem at blokow y podprogram u obsługi przerw ania

Fig. 7. B lock diagram o f interrupt subroutine

(10)

dalsza praca zależy od zadanej (np. z potencjom etru) częstotliw ości. Szybkość działania zadajnika jest zależna od nastawy jed n eg o z potencjom etrów .

N astępna faza program u głów nego, to odczyt klaw iatury. Służy ona do działań pom ocniczych w czasie rozruchu urządzenia i nastawy param etrów f max , f mln i in. W zależności od źródła nastawy, częstotliw ość zadana odczytyw ana je st z odpow iedniego kanału przetw ornika A /C lub rejestru buforow ego odbiornika układu transm isji szeregowej (UART).

Przełączanie tranzystorów realizow ane jest w określonych odstępach czasu w trakcie podprogram ów obsługi przerwania. T e w łaśnie przerw ania są generow ane przez układ licznikow y, do którego w czasie poprzedniego podprogram u obsługi przerw ania załadowano liczbę odpow iadającą czasowi do następnego przełączenia tranzystorów, a co za tym idzie, następnego przerwania. Podstaw ow ą jed n o stk ą czasu je st lbit= 0,67 ps, a m aksym alna w artość czasu m ożliw a do odm ierzenia w ynosi 65535 0,67 ps=43,7 ms, co w zupełności wystarcza, poniew aż w rzeczyw istości najkrótsze czasy m iędzy przełączeniam i są rzędu 40 ps, a najdłuższe kilkuset m ikrosekund. Schemat blokow y podprogram u został przedstaw iony na rys. 7.

6. W Y N IK I P O M IA R Ó W I W N IO S K I

W toku badań falownika napięcia otrzym ano oscylogram y prądu silnika i napięcia m iędzyfazow ego dla różnych częstotliw ości zadanych i różnycn obciążeń silnika.

Przykładow e oscylogram y zostały zam ieszczone na rys 8,9,10,11.

Z rysunków tych widać, że kształt prądu dla niskich częstotliw ości je s t niew ystarczający, dopiero dla f zaj = 15 Hz m ożna go uznać za dobry. Obecnie częstotliw ość nośna zm ienia się od 200 Hz do 1600 Hz. Aby osiągnąć znacznie lepszy kształt prądu i zm niejszyć efekty dźw iękow e, jak ie pow stają w silniku zasilanym z falownika napięcia, należałoby zw iększyć częstotliw ość sygnału nośnego kilkakrotnie.

D okonano także analizy zaw artości w yższych harm onicznych w prądzie silnika dla 20 Hz i 50 Hz. O czywiście, głów ny udział m a podstaw ow a harm oniczna, a pozostałe nie stanow iły w ięcej ja k 3..5 % jej am plitudy i głów nie były to w stęgi boczne w ielokrotności częstotliw ości nośnej.

Pom iary potw ierdziły osiągnięcie założonych param etrów tranzystorow ego falownika napięcia MSI z m ikroprocesorow ym sterow nikiem . Znajduje on zastosow anie w napędach nie

(11)

Prosty przem ysłow y. 195

w ym agających dobrych w łaściw ości dynam icznych, czyli dla w entylatorów , pomp, podajników w ęgla i in. Sterow nik je st taki sam niezależnie od m ocy falow nika, czy jest to 5 k V A , czy 40 kV-A, zaw sze stanow i go ta sam a płyta bez zm ian układow ych. Ostatecznym w eryfikatorem je st przem ysł i tutaj ok. 40 zainstalow anych przez firm ę EN EL i pracujących urządzeń (m .in w elektow ni B ełchatów ) daje ocenę pozytyw ną.

Ze w zględu na potrzebę polepszenia kształtu prądu, zw łaszcza dla m ałych prędkości obrotow ych, należałoby zw iększyć częstotliw ość fali nośnej do kilku kiloherców , co jest niem ożliw e do w ykonania w tak prostym układzie, oraz zrealizow ać układ regulacji, który popraw iłby w łaściw ości dynam iczne. W ykonanie takiego sterow nika w ym aga użycia m ikroprocesora o dużej m ocy przeliczeniow ej, um ożliw iającego w ykonanie szybkich przełączeń w yjść sterujących z ja k najm niejszą ingerencją program ow ą. W ynika stąd celow ość perspektyw icznego użycia 16-bitowych m ikrokom puterów jednoukładow ych, coraz szerzej stosow anych w przem yśle św iatowym .

Rys. 8. Przebiegi prądu i napięcia silnika R ys- 9. Przebiegi prądu i napięcia silnika d la fz a d = 5 Hz, Mohc = 0 dla f za d = 20 Hz, Mobc = 0.25Mn Fig. 8. C urrent and voltage w aveform s F'§- 9- C urrent and voltage w aveform s

for f 7ad = 5 Hz, Mnhr = 0 for f 7nd = 20 Hz, M nhr = 0.25M n

(12)

Rys. 10. Przebiegi prądu i napięcia silnika dl^fzad ~ 4^ Mobc ~ Fig. 10. C urrent and voltage w aveform s

fo rfza d ~ 40 H z > Mobc = M n

Rys. 11. Przebiegi prądu i napięcia silnika d la /r a i/ = 50 Hz, M0/JC = M n Fig. 11. C urrent and voltage w aveform s

for f 7n(j = 50 Hz, M(lhr =M„

LITERATURA

1. Biskup T.: M ikroprocesorow e sterow anie silnika asynchronicznego, praca dyplom ow a m agisterska, Inst.Elektrotechniki Teoretycznej i Przem ysłow ej Politechniki Śląskiej, G liw ice 1989.

2. K uczewski Z., Kołodziej H., N ow ak J., Biskup T.: Tyrystorow a w yżarzarka indukcyjna 30 kW , 2kHz, 380 V z w ielofunkcyjnym zadajnikiem tem peratury w ykonanym w system ie Z80, rękopis, G liw ice 1990.

3. K ołodziej H., Biskup T.: N apęd częstotliw ościow y z silnikiem indukcyjnym klatkow ym i falow nikiem M SI -5 kV A, 5..55Hz, 380 V, IGBT , ze sterow nikiem i regulacją na Z80, rękopis, G liw ice 1991.

4. K ołodziej H., Biskup T.: N apęd częstotliw ościow y z dw om a silnikam i indukcyjnym i klatkow ym i, 2*18 kV A i falow nikiem M SI - 40 kV A, 5..55Hz, 380 V, IGBT, ze stero­

w nikiem i regulacją na Z80, rękopis, G liw ice 1992.

5. N ow acki Z.: M odulacja szerokości im pulsów w napędach przekształtnikow ych prądu przem iennego, PW N, W arszaw a 1991.

6. K uczew ski Z.: Energoelektronika, Skrypt Politechniki Śląskiej, G liw ice 1975.

Recenzent: P rof.dr hab.inż. Ryszard K ozioł

W płynęło do Redakcji 1 grudnia 1992

(13)

Prosty przem ysłowy. 197

A b stra c t

Recently, PW M converters have been w idely used for industrial applications. Sim ply solutions o f controllers for drive w ith induction m otors are still in search. A sim ple, industrial m icroprocessor's controller for PW M converter, based on Zilog Z80 is presented in this paper. Functional diagram o f controller w ith com m unicate possible is given at fig 1.

C onverter is built o f LGBT transistors. C ontroller w orks in open loop, and it obtains value o f voltage using form ula Uza d = G ( f za(j ), w here flux ¥ = const and no-load. T here are used sine-triangle m ethod to generate transistors control signals (fig 3,4). C ontroller structure is based on m icroprocessor Z80. R educed block diagram o f controller is presented at fig 5.

PW M converter control is perform ed by softw are part. Block diagram s o f m ain program and interrupt subroutine are show n at fig 6 and 7. T here w ere perform ed experim ents w ith induction m otor and inverter. T he author dem onstrates results obtained by that experim ent in shape o f current and voltage w aveform s at fig 8,9,10,11. C onverter has w orked w ithout any problem s in industry applications w hich dynam ic features need not be so high (pumps, ventilators). It is needed to use better m icroprocessor (16 bit) to im prove static and dynam ic param eters o f control system.

Cytaty

Powiązane dokumenty

Ponieważ czas trwania pierwszego cyklu (całkowania napięcia w ejściowego) jest stały i odpowiada 10001 impulsów zegarowych, można na podstawie czasu trwania sygnału BUSY

Gdy przewodzi tranzystor Tr^, to wtedy tranzystor Tr^ znajduje się w stanie nasycenia i kondensator Cg może się naładować do pewnego napięcia o ile napięcie UAB

W trakcie realizacji punktu 5 algorytmu, subprocesor sterowania przeprowadza pomiary wielkości wejściowych i na ich podstawie oraz na podstawie stanu w jakim

Częstotliwość przetwarzania przy stabilizacji wy jś ci ow eg o prądu przetwornicy F£ (a) oraz kształt charakterystyki wyjściowej zasilacza G0 ( a ) , utrzymującego

eksperymentach typu Sterna-Gerlacha, m) zakazu Pauliego, liczb kwantowych funkcji falowych elektronów w atomach, konfiguracji elektronowych pierwiastków układu

dów promieniotwórczych i prawa rozpadu promieniotwórczego, c) metod datowania radioizotopo- wego, d) fizycznych podstaw metody obrazowania za pomocą jądrowego

W tym celu zaproponowano aby w procesie resocjalizacji, wykorzystać e-learning (nauczanie na odległość), który jest nowoczesnym i efektywnym sposobem nauczania,

ObjĊtoĞü ostrosáupa prawidáowego trójkątnego ABCS tak jak na rysunku jest równa 72, a promieĔ okrĊgu wpisanego w podstawĊ ABC tego ostrosáupa jest równy 2.. Oblicz tangens