• Nie Znaleziono Wyników

Adaptive Optics to Counteract Thermal Aberrations: System Design for EUV-Lithography with Sub-nm Precision

N/A
N/A
Protected

Academic year: 2021

Share "Adaptive Optics to Counteract Thermal Aberrations: System Design for EUV-Lithography with Sub-nm Precision"

Copied!
202
0
0

Pełen tekst

(1)

A

DAPTIVE

O

PTICS TO

C

OUNTERACT

T

HERMAL

A

BERRATIONS

SYSTEM

D

ESIGN FOR

EUV-L

ITHOGRAPHY WITH SUB-NM

(2)
(3)

A

DAPTIVE

O

PTICS TO

C

OUNTERACT

T

HERMAL

A

BERRATIONS

SYSTEM

D

ESIGN FOR

EUV-L

ITHOGRAPHY WITH SUB-NM

PRECISION

Proefschrift

ter verkrijging van de graad van doctor aan de Technische Universiteit Delft,

op gezag van de Rector Magnificus prof. ir. K. C. A. M. Luyben, voorzitter van het College voor Promoties,

in het openbaar te verdedigen op maandag 2 december 2013 om 15:00 uur

door

Rudolf S

AATHOF

werktuigkundig ingenieur geboren te Marum

(4)

Dit proefschrift is goedgekeurd door de promotor: Prof. ir. R. H. Munnig Schmidt

Copromotor: Ir. J. W. Spronck Samenstelling promotiecommissie:

Rector Magnificus, voorzitter

Prof. ir. R. H. Munnig Schmidt, Technische Universiteit Delft, promotor Ir. J. W. Spronck, Technische Universiteit Delft, copromotor Prof. dr. G. Schitter, Technische Universität Wien

Prof. dr. N. J. Doelman, Universiteit Leiden

Prof. dr. M. H. G. Verhaegen, Technische Universiteit Delft Dr. J. B. P. van Schoot, ASML

Dr. B. Kneer, Carl Zeiss SMT

Prof. dr. U. Staufer, Technische Universiteit Delft, reservelid

Agentschap NL

Ministerie van Economische Zaken

Keywords: Adaptive Optics,EUV-lithography, Thermal Aberrations, Mechatronic System Design, Surface-Metrology

Printed by: Wöhrmann Print Service, Zutphen

Front & Back: Simulation that represents the measurement of all individual charac-ters that are formed by the Active Mirror.

Copyright © 2013 by R. Saathof ISBN 978-94-6203-480-8

An electronic version of this dissertation is available at

(5)

When I consider your heavens, the work of your fingers, the moon and the stars, which you have set in place, what is a man that you are mindful for him, the son of man that you care for him?

(6)
(7)

P

REFACE

En dan is dit het enige stuk tekst wat alleen in het Nederlands verschijnt en niet zoals de samenvatting een vertaling is van. Wat is het heerlijk om in een taal te kunnen schrijven die je echt machtig bent, in plaats van aan te modderen in een taal die je leert terwijl je schrijft. Aan de andere kant, leren is leuk en ik heb daar tijdens mijn hele promotie van genoten.

Vijf jaar geleden woonde ik nog in Enschede en werkte ik aan mijn afstudeerver-slag. Toen dat af was, was het tijd om te gaan. Wat is er veel veranderd sinds ik daar vertrokken ben; niet alleen staan de afgelopen jaren in het teken van academische, maar ook van persoonlijke ontwikkeling. Ik heb twee nieuwe steden leren kennen, talloze nieuwe mensen, leren reizen, vrienden gemaakt, mijn levenspartner ontmoet en trouw beloofd, en nu mogen we voor een kindje zorgen.

Op professioneel gebied ben ik ook gegroeid. Ik ben beter gaan presenteren en kan mijzelf ook beter presenteren. Ik ben professionele contacten aangegaan, naar conferen-ties geweest, heb de Engelse taal beter onder de knie gekregen, geleerd wat wetenschap is, ik héb en bèn begeleidt en heb vaardigheden aangeleerd die onmisbaar zijn voor een wetenschappelijk mechatronicus.

In het kader van deze professionele ontwikkeling wil ik heel veel mensen bedanken, te beginnen met mijn begeleiders. Jo, wat heb ik van jou genoten. Je hebt een oneindige creativiteit in je, die ervoor zorgt dat je geen moment stil zit. Je kijkt over grenzen die anderen niet zien. Altijd weet je de spijker weer op zijn kop te slaan, ook al spreek je jezelf regelmatig tegen. Hierdoor heb je weer een doel bereikt, want wat hebben we veel gediscussiëerd. Rob, wat heb ik veel van je geleerd. Je hebt een compleet andere inslag dan ik. En uiteindelijk heb ik misschien wel het meest geleerd van de dingen die je niet hebt gezegd, de momenten dat je niet hebt gestuurd, zodat ik mijn eigen koers kon varen. Dank voor het vertrouwen dat je daarin hebt gegeven en je continue herinnering aan mijn wens om een academische carrière op te bouwen.

Ook ben ik erkentelijk naar de direct betrokkenen in het project. Als eerste mijn col-legae promovendi: Simon, Aleksandar en Alessandro: especially in the start-up phase of the project we collaborated and exchanged ideas. You all have an own position and your unique approach, causing the project to be successful and led to 4 completely different PhD projects.

Collegae ASML, misschien niet echt collegae, maar toch voelt het een beetje zo. Met name Anton en Jan: in de opstart fase hebben jullie mij kennis laten maken met veel collegae van ASML, die mij hebben geholpen om de systeem analyse uit te werken zoals die in Hoofdstuk2beschreven staat. Daarnaast de collegae die op de gebruikerscom-missievergaderingen aanwezig waren; samen met hen zijn jullie voortdurend kritisch geweest op alle meetresultaten en simulaties. Maar ook Gosse; dank je voor de korte samenwerking en inhoudelijke support en inspiratie die aan de grondslag van Hoofd-stuk5ligt. En ook buiten ASML hebben jullie mij aan contacten geholpen: Fred,

(8)

viii PREFACE

bert en Chris van FOM, bedankt voor de experimenten die jullie voor mij hebben uit-gevoerd. Dank voor deze contacten en ook voor de generositeit waarmee jullie je kennis hebben gedeeld met mij. Als laatst: jullie hebben ook steeds mijn publicaties en werk doorgenomen ook al redde ik de deadlines niet altijd.

Niek, jij bent voor mij de toegang geweest tot TNO en de optische werkplaats die mij spiegels leverden die ik kon vervormen. Maar natuurlijk moet ik ook niet vergeten ho-eveel werk je in de samenwerking van dit project hebt gestoken. Jacob Jan, dank voor het coaten van de substraten en de tijd die je tussendoor kon vinden om dit te per-fectioneren. Zonder deze substraten was de helft van mijn proefschrift niet tot stand gekomen. Merijn van Nedinsco en Henk van Opteq, bedankt voor jullie aanwezigheid, vragen en opmerkingen bij de vergaderingen. Dank voor het aanbieden van jullie di-ensten, ook al heb ik daar nooit gebruik van gemaakt. Bernhard, thank you for always be ready to answer questions and the confidence in the modelling work of Chapter2. Furthermore for pushing your colleagues when I was late with submitting a paper for approval.

Dan komt nu ook de dank toe aan mijn vier afstudeerstudenten. In de eerste plaats bedankt dat jullie het aandurfden, maar ook de onvoorstelbare hoeveelheid werk die jul-lie verzet hebben. Jan, de experimentele resultaten die in Hoofdstuk5zijn gepresenteerd komen van jouw onderzoek. Ook het werk wat eigenlijk een uitspinsel van een COMSOL opdracht van Ron was, is beland in Hoofdstuk6. Bovendien was je een fijne collega en sportmaatje en ik vind het bijzonder dat we vrienden zijn geworden. Bart, ook al is het werk van jou niet direct in het proefschrift gekomen, toch heeft jouw werk veel inzicht gegeven in het meten van temperaturen en heb ik nog veel gebruik gemaakt van de con-nectie tussen MATLAB en de thermische camera. Luuk, bedankt voor alle nanometers die jij zichtbaar hebt gemaakt. Jouw werk heeft een belangrijke bijdrage aan Hoofdstuk4

geleverd en het heeft geresulteerd in mooie metingen in de andere hoofdstukken. Mar-tijn, wat ben je een ontzettend nuchtere knakker, en wat heb je goed werk geleverd. De modelvorming en validatie van Hoofdstuk7was goed aan jou besteedt.

Evert, ik vind het lastig je nou ergens tussen te plaatsen, maar toch wil ik je graag bedanken. Kruisbestuiving is een groot goed, maar zeker als je allebei er ook daadw-erkelijk wat aan hebt. Door jou begin ik FEM een beetje te begrijpen en is mijn begrip van thermo-elasticiteit op een hoger niveau gekomen.

En dan kom ik nu bij de mensen die eigenlijk niets met het project te maken hebben, maar het inmiddels waarschijnlijk wel kunnen dromen. Ron, Just en Arturo bedankt voor het academische perspectief in de MSD meetings. For all fun in the office I love to thank all of my (previous) colleagues of MSD: Sander, Friedi, Maarten, Guido, Kinam, Eric, Jon, Jeroen, Jasper, Walter, Chris, Arjan, Ruijun, Johan, Oskar and Foppe. Thanks for being your CSO, for the Friday afternoon drinks, the Thursday afternoon drinks, the BBQ’s, the practical jokes. For all what’s haptic: Patrice, Pablo thanks and Teun, ik wens je veel succes met het lineaire motor practicum.

Wat misschien wel het belangrijkste is, ook al worden ze het makkelijkste vergeten is het ondersteunend personeel. Rob, je hebt overal stevig de grip op, en daardoor weet je wat voor spullen er zijn en wat geregeld kan worden. Patric en Harry, wat heb ik veel om en met jullie gelachen, maar vooral: wat een vakmannen zijn jullie. Wim, ik hoop dat je dit leest: dank je wel voor je flexibiliteit en je vakmanschap zodat mijn PC’s of laptop

(9)

al-PREFACE ix

tijd draaiden. Jos, dank voor al je hulp bij het lineaire motor practicum en het lenen van al je spullen. Subier, waarschijnlijk schrijf ik je naam verkeerd, maar wat een fantastis-che kerel ben je, wat heb ik met je gelafantastis-chen en wat ben jij communicatief vaardig! En Corinne, Birgit wat fijn dat de deur bij jullie altijd openstaat, Marli, fantastisch hoeveel jij weet van alle formulieren en hoe je iedereen daarin helpt en Marianne, bedankt voor het heen en weer lopen naar de pedel voor mij.

Buumies en Buufies Rotterdam, wat een mooie tijd hebben we gehad aan de M-street, jullie hebben mijn tijd in Rotterdam zoveel kleur gegeven. Michiel en Haan, ons contact is misschien iets minder frequent, maar ik geniet altijd van jullie kennis en dis-cussies. Mol, Willem-Jan en Elline, jezt seh ich warum wir soviel haben geklaberjacked auf Deutsch, danke schon Freunde zu sein. Lucas en Bori, wat een mooie vriendschap zijn we met z’n allen aan het opbouwen. Ook bedankt voor de gesprekken over de in-houdelijke en literaire kant van wetenschap.

Steven, je was zelfs stiev-buum aan de M-street. Wat ben ik blij dat jij al in Rotter-dam woonde en dat we zoveel tijd door hebben gebracht. Je bent een ontzettend loyale kerel en juist onze verschillen geeft onze vriendschap ontzettend veel waarde. Maarten, wat een mooie kerel ben jij. Ontzettend bedankt voor alle advies die je altijd, of het nu gevraagd of ongevraagd is, geeft. Jou blik om de kant van het leven te laten zien die ik niet ken. Voor de eindeloze discussies over alles en over niets. Jos, vanaf het VWO kennen wij elkaar, wat een fijne vriend ben jij. Het lijkt of we beide dezelfde reis maken, elk op onze eigen manier, maar we zitten min of meer wel steeds in de zelfde levensfase. Tevens wil ik alle vrienden bedanken waar ik geen ruimte meer voor heb om te beschrijven wat voor waarde ik aan jullie hecht.

Lieve familie: Jan, Anneke, Rik en Yinthe, Fokko, Rianne, Jordan, Linde en Alyne, Durk, Catharina en Nathatalie, Stephan en Rebekka, Bram, Ruth. Wat is het fijn om bij elkaar te zijn, om alles te vergeten en lol te trappen, maar toch ook altijd alles te kunnen bespreken. Lieve Pap en Mam; jullie hebben mij zoveel dingen geleerd die misschien wel belangrijker zijn dan kennis: doorzettingsvermogen, respect voor iedereen, vrien-delijkheid en alles wat zich niet op deze aarde lijkt te bevinden.

Lieve Elisa. Je bent een fantastische vrouw. Je ondersteunt me door dik en dun, ook al staan de laatste maanden veel in het kader van dit werk en minder in het kader van ons nieuwe gezin. Door je didactische vaardigheden heb je goede feedback op mijn pre-sentaties gegeven en met je warme hart geef je passend advies over hoe ik correct met lastige situaties om kan gaan. Feline, ook al ben je nog klein, je geeft zoveel vreugde op een manier die ik nog nooit ervaren heb.

Goede God, bedankt voor deze mooie mensen, zonder hen was het nooit gelukt. Be-dankt voor alle mogelijkheden en deuren die voor mij open gaan. BeBe-dankt voor de wis en natuurkunde.

En dan is nu de tijd gekomen om weer verder te gaan.

Rudolf Saathof Wenen, November 2013

(10)
(11)

C

ONTENTS

1 Introduction 1

1.1 Thermal aberrations in Optical Systems. . . 1

1.2 Adaptive Optics. . . 3

1.3 Adaptive Optics-system for EUV-Lithography. . . 5

1.4 Conventional Deformable Mirrors . . . 5

1.5 Deformable Mirror for EUV-Lithography . . . 6

1.6 Organisation of this thesis . . . 7

2 Thermal Aberrations in EUV-Lithography 9 2.1 Modeling WaveFront Errors in optical systems . . . 9

2.1.1 Modeling approach . . . 11

2.2 System description . . . 13

2.3 The irradiance in the pupil of the projection optical system. . . 14

2.3.1 Diffraction pattern in the pupil . . . 15

2.3.2 Pupil irradiance profile. . . 17

2.4 System parametrisation. . . 19

2.4.1 Assumptions. . . 20

2.4.2 Magnification and radius . . . 20

2.4.3 Orientation of the coordinate systems. . . 23

2.4.4 Coordinate transform . . . 24

2.4.5 Irradiance profile on the mirrors. . . 25

2.5 Thermo-elastic model of the mirrors . . . 27

2.5.1 Geometry . . . 27

2.5.2 Thermal model . . . 27

2.5.3 Elastic model . . . 30

2.6 The WaveFront Error and Point Spread Function . . . 33

2.6.1 Final result and discussion. . . 35

2.7 Conclusion . . . 37

3 Adaptive Optics System Design 39 3.1 Conventional Adaptive Optics-systems. . . 39

3.2 Adaptive Optics System Design for EUV-Lithography. . . 40

3.2.1 System requirements. . . 40

3.2.2 Correction strategy. . . 41

3.3 Active Mirror Requirements. . . 43

3.3.1 Mirror requirements. . . 43

3.3.2 Comparison with Conventional Deformable Mirrors . . . 45

3.3.3 Design Choices for the Active Mirror. . . 45

(12)

xii CONTENTS

3.4 Active Mirror principle . . . 46

3.4.1 Realisation of the Active Mirror . . . 47

3.5 Discussion . . . 49

3.6 Conclusions. . . 50

4 Experimental Set-up 53 4.1 Properties of the experimental set-up. . . 53

4.2 Actuator system. . . 55

4.3 Dedicated measurement set-up. . . 56

4.3.1 Interferometer. . . 58

4.3.2 Data-acquisition. . . 59

4.4 Decreasing error sources . . . 62

4.4.1 Beam-quality . . . 62

4.4.2 Turbulence shielding. . . 65

4.4.3 Vibration isolation. . . 65

4.5 Quantification of the errors. . . 66

4.5.1 Temporal filtering . . . 67

4.5.2 Spatially filtering. . . 70

4.5.3 Suitability for measuring the Active Mirror. . . 73

4.6 Conclusions. . . 73

5 Active Mirror Properties 75 5.1 Mirror properties . . . 75 5.2 FEM-model of the AM . . . 77 5.3 Mirror coating . . . 79 5.4 Validation approach . . . 80 5.4.1 Measurement set-up. . . 80 5.4.2 Linearity. . . 81

5.4.3 Instrument Transfer Function . . . 84

5.5 Discussion . . . 87

5.6 Conclusions. . . 88

6 Actuation-Profiles for Zernike Polynomials 91 6.1 Actuation of 3 dimensional shapes . . . 91

6.2 Obtaining the Actuation-Profiles . . . 92

6.3 Experiments . . . 93

6.4 Superposition of actuation-profiles. . . 95

6.5 Discussion and conclusions. . . 95

7 Active Mirror Control 99 7.1 Introduction . . . 99

7.2 Adaptive Optics-system for EUV-lithography . . . 101

7.3 Mechatronic set-up. . . 103

7.3.1 Equipment. . . 104

7.3.2 Alignment procedure and spatial sampling . . . 105

(13)

CONTENTS xiii

7.4 Control strategy. . . 108

7.4.1 Passive control. . . 109

7.4.2 Estimated State Feedback . . . 111

7.4.3 Thermo-elastic modelling . . . 113

7.4.4 Proportional Integral control. . . 116

7.4.5 Closed-loop experiments, temporal . . . 118

7.5 Discussion and conclusions. . . 123

8 Precision of 3D Shapes 127 8.1 Motivation of the experiments . . . 127

8.2 Experimental validation. . . 129 8.2.1 Stability . . . 130 8.2.2 Predictability. . . 131 8.2.3 Repeatability. . . 134 8.3 Discussion . . . 134 8.4 Conclusion . . . 136

9 Conclusions and Recommendations 137 9.1 Conclusions. . . 137 9.1.1 A suitable AO solution . . . 144 9.2 Recommendations . . . 144 9.2.1 System optimisation. . . 145 9.3 Broader perspective. . . 146 Bibliography. . . 148 Bibliography 148 A Zernike Polynomials 161 A.1 Determining Zernike coefficients.. . . 161

A.2 Purity of an acquired shape. . . 161

A.3 Definition Zernike polynomials. . . 162

B Finite Element Method-model 165 B.1 Stress-strain relation . . . 166

B.2 Temperature-strain relation. . . 166

B.3 Strain-displacement relation (continuity relation) . . . 167

B.4 Equilibrium. . . 167

B.5 Shape-functions . . . 168

B.6 Equations for one element . . . 169

B.7 Mechanical element stiffness matrix . . . 169

B.8 Thermal element stiffness matrix. . . 169

B.9 Global stiffness matrix assembly . . . 170

B.10Boundary conditions . . . 170

C Mirror Mount 171

Nomenclature 173

(14)

xiv CONTENTS

Summary 183

Samenvatting 185

Curriculum Vitæ 187

(15)

1

I

NTRODUCTION

Nowadays, optical systems and devices are more frequently used in science and indus-try. Besides, the complexity of these systems is increasing with respect to the number of optical components, the field of view, accuracy and applicability. The requirements following from these aspects are not seldom contradictory.

1.1.

T

HERMAL ABERRATIONS IN

O

PTICAL

S

YSTEMS

An example of contradictory requirements are the increased accuracy and the elevated source power to increase sensitivity towards the measurand or to increase production for a higher profit. This also leads to an increased thermal noise level that generally com-promises this accuracy, see Fig.1.1. Known examples of highly precise systems that are concerned with thermal aberrations are X-ray synchrotrons [154], Laser Interferometer Gravitational-Wave Observatorys (LIGOs) [94], high power lasers [88] and space appli-cations [118]. These thermal deformations and the corresponding optical distortions form a new challenge that is also in Precision Engineering. In this area, thermal errors are known to originate by friction, room temperature, or heat generation by the process. Due to this heat the dimensions of the workpiece or the machine change [27], and com-pensating techniques have been developed to compensate for these [122,146].

l δl

Figure 1.1: Thermal expansion of solid material. In this example a beam with length l is expanded by a fac-torδl due to thermal expansion. The relation between the increased temperature ∆T and the relative linear

expansion isδl /l = α∆T , in which α is the coefficient of thermal expansion. This coefficient is typically 10−6

m/K for conventional materials.

(16)

2 1.INTRODUCTION

Figure 1.2: An Extreme UltraViolet (EUV)-lithography machine; the expected tool for making the next genera-tion integrated circuits.

In this thesis the subject of thermal aberrations is dealt with in the perspective of Optical Lithography for the semiconductor industry, of which an example is shown in Fig.1.2As part of Moore’s law with decreasing cost per active element, the cost of own-ership of a lithography machine needs to be as low as possible. Amongst others, this can be achieved by tools with a high throughput, realised by fast stages, high transmission optics, high sensitivity resist and high power light sources [13,82]. The compromise be-tween overlay and fast stages is generally taken into account by structural dynamics, mo-tion control, metrology and other Precision Engineering methods [44,92,114,119,133]. Besides the increased throughput, the profit can be elevated by increasing the num-ber of components on a chip, driving the imaging resolution [82]. Moore’s law also drives this improvement which is realised by high numerical apertures, enhancing the imaging process using off-axis illumination, phase shifting masks and optical proximity correc-tion [32] and decreasing the wavelength, which motivates the use ofEUV-light [13].EUV -lithography is the expected technology in the semiconductor industry to realise feature sizes on integrated circuits to be below a half pitch of 22 nm [148].

In contrast to conventional lithographic systems, in which lenses are used1,EUV -lithographic systems have mirrors, because no transparent materials are available due to the high absorption ofEUV-light. AsEUV-light is also absorbed by air, these mirrors are situated in vacuum. Even though reflective surfaces are used, the optical power of

EUV-light is absorbed for 35% on each mirror. This decreases the optical transmission 1Using lenses is advantageous with respect to the optical design, the volume it needs and the sensitivity for

(17)

1.2.ADAPTIVEOPTICS 3

significantly, which demands an even higher source power, resulting in more absorption. This absorption is undesirable, since it leads to a temperature rise of these mirrors. The increased temperature causes the mirrors to deform due to the thermal expansion of the substrate material. Despite these disadvantages, it is expected that conventional lithography is less cost-efficient, since achieving the desired resolution requires multiple exposure steps by using multiple patterning methods, which decreases the decreases the throughput of the lithographic chain.

These mirror deformations result in WaveFront Errors (WFEs), which deteriorate the performance of the optical system. This can either be the degradation of the image qual-ity, which causes blurring and distortion or reduced sensitivity for measurement sys-tems [101,121,150]. The group of Spence and Ray-Chaudhurry et al. [101,121] analysed theEUV-Engineering Test Stand (ETS) [130], a first prototype at the Lawrence Livermore National Laboratory and the Alpha Demo tool, which is another prototype located at IMEC in Leuven and in Albany NanoTech. The prediction of the thermal behaviour is useful to compensate for tilt, defocus and other alignment related aberrations. These can be compensated by computer aided alignment procedures [28]. The imaging pro-cess inEUV-Lithography using a Numerical Aperture (NA) of 0.25 is critically distorted when the Root Mean Square (RMS) of theWFEexceedsλ/20 [147], which is less than 0.65 nm for a wavelengthλ = 13.5 nm. This implies that the precision of the mirrors may

not exceed 0.65/2=0.33 nmRMSfor diffraction limited imaging.

1.2.

A

DAPTIVE

O

PTICS

The performance of high precision positioning stages is facilitated by servo-systems that cause these stages to follow trajectories, remove steady state errors and suppress noise. A comparable principle in Optical Engineering is the use of an Adaptive Optics (AO )-system, which principle is known from its application in astronomy and microscopy [22,

105,132], which leaves us in the field of optomechatronics [31]. In order to suppress the thermal aberrations in anEUV-lithography system, Li et al. [150] suggested to use an

AO-system. In this thesis, anAO-system forEUV-lithography is proposed with a novel actuation principle. Generally, this method uses a WaveFront Sensor (WFS) to measure the aberration, a Deformable Mirror (DM) as actuator to correct the aberration and a control algorithm to obtain the desired control signals, as is shown in Fig.1.3. In the perspective of mechatronic systems, theDMcan be seen as an overactuated system [115] With respect toAO-systems for correcting thermal aberrations, Susini et al. [125] pre-sent a piezo-actuatedDMfor synchrotrons, forLIGOs Lawrence et al. [72] and Lück et al. [80] present an active correction mechanism using thermal lensing and deforma-tion. Solutions for high-power lasers make use of pneumatic actuation for a thin disk laser [98], or piezo-foil [51], which has also been used for stabilising the cavity-length of a laser [76], besides thermal realisations [42]. Additionally, AO-solutions for ArF-lithography (193 nm) are realised by aDM[95], thermal correction using the absorp-tion of a laser [93], or by electric dissipation [123]. ForEUV-lithography aDMis recently designed by Ravensbergen et al. [100] having thermal actuators perpendicular to rela-tively thick phase-plate. It has a floating support frame, which is flexible to limit cross-coupling between actuators.

(18)

4 1.INTRODUCTION

}

Star Wav efro nt Atmospheric layer Propagation Direction W a v e fr o n t

Beam Splitter Imaging Optics

Imaging Camera

Shack Hartmann Sensor CCD Detector

Control Algorithm Deformable

Mirror

Figure 1.3: The working principle of an Adaptive Optics (AO)-System. The WaveFront of light from a star gets disturbed by turbulence in atmospheric layers, and corrected by the Deformable Mirror (DM). In order to do so, light is separated from the beam using a beam-splitter and exposed to a Shack-Hartmann WaveFront Sensor (WFS), consisting of a lenslet array and a Charge Coupled Device (CCD)-detector. The sensor signal is subjected to a control algorithm, that obtains the necessary control signals for theDM. When the wavefront is properly corrected, the image on the imaging camera reveals the universe at the diffraction limit.

(19)

1.3.ADAPTIVEOPTICS-SYSTEM FOREUV-LITHOGRAPHY 5

1.3.

A

DAPTIVE

O

PTICS

-

SYSTEM FOR

EUV-L

ITHOGRAPHY

AlthoughAOis a well established field, the implementation of anAO-system forEUV -lithography has five key differences with respect to conventionalAO-systems. First, the correction should have sub-nanometre precision which is 2 to 3 orders of magnitudes more strict than conventionalAO-systems, which can be explained by the difference in wavelength [19]. By using feedback control it is possible to achieve sub-nanometre pre-cision [45]. However, inEUV-lithography it is problematic to do real-time wavefront measurements, which is the second difference. Although a Hartmann WaveFront Sen-sor [99] and a beam-splitter [24] forEUVare under development, a beam-splitter reduces the available power for the lithographic process significantly, which is undesirable. This beam-splitter can be avoided by measuring in between the exposure of wafers, batches of wafers, or during maintenance2. Therefore, anAO-system is proposed in this paper that is based on feed-forward control, relying on models with low uncertainties. To re-alise this, theDMfor this system requires high predictability and stability. The third dif-ference is the time constant, which is 2 to 3 orders lower for thermally distorted optical systems, which is explained by the difference of speed between atmospheric turbulence and thermal diffusivity of a solid material. Fourth, theAO-system corrects for aberra-tions of the optical system itself (i.e. the optical components deform) instead of exter-nally caused aberrations (i.e. atmospheric turbulence or the specimen in a microscope). Fifth, theAO-system is situated in vacuum instead of atmospheric pressure. This has the advantage of the absence of atmospheric turbulence, but the disadvantage that vacuum is a thermal isolator. This constraints the heat-dissipation of active components and the variety of materials that can be used for manufacturing.

In order to achieve the required precision, all error sources must be reduced to an ex-tremely low level: These sources can respectively be vibrations originating from the envi-ronment that are transferred through a reference frame, exciting the mirror substrate in its natural frequencies. Mounting actuators may induce mechanical stresses which can lead to creep around the actuator and heat caused by these operating actuators cause parasitic thermal deformations. These error sources and the criterion on the precision of the mirror shape, which is less than 0.33 nm, form the most stringent requirements of designing aDMfor such an application. Hence, it is motivated that conventionalDMs cannot be used inEUV-lithography.

1.4.

C

ONVENTIONAL

D

EFORMABLE

M

IRRORS

The most convenient solution would be using a commercially availableDMand the sec-ond most favourable is aDMthat has already been developed and is suitable for these requirements. TheseDMs usually have membranes that are actuated by push pull actu-ators or use shearing forces by utilising bi-layers. By only using feed-forward, they are able to correct errors with amplitude of 10 nm to 100µm in a frequency band of 100 Hz

to 10 kHz, which is the range of atmospheric turbulence.

AffordableDMs that are mainly used for astronomy and microscopy are MicroElec-troMechanical System (MEMS) [18]. TheseDMs usually consist of a thin membrane and are actuated with piezo material, electrostatic or Lorentz type actuators [139]. Further-2During maintenance, the source is turned off, providing an opportunity for calibration of the system

(20)

6 1.INTRODUCTION

more, the open loop precision that is obtained is max 10 nm [19]. Using feedback, these systems can obtain sub-nanometre precision, but even in this situation the hysteresis they exhibit is still 0.6±0.3 nm [91], The reflective area is only a few cm2which is 100 × smaller thanEUV-mirrors, they cannot be manufactured in the required shape and it is hard to lose its absorbed heat, due to the thin phase-plate.

In the case of special applications, dedicatedDMs are developed.DMs for astronomy can be large when they replace the secondary mirror. Examples are the Multiple Mirror Telescope (MMT) [26] and Large Binocular Telescope (LBT) [85] both with a diameter more than 0.5 m that are based on the same technology, using electromagnetic actu-ators. Another proposal for the European Extremely Large Telescope (E-ELT) [37] uses nearly 7000 piezo-electric actuators. Heimsten et al. [62] proposed a low-cost voice-coil actuator for largeDMs and Hamelinck et al. [60] have developed aDMwith reluctance actuators and therefore the energy dissipation is low. Although these mirrors are thicker thanMEMS-DMs it are membrane mirrors having actuators that are perpendicular to the phase-plate and attached to a reference frame. This connection could lead to mirror vibrations that compromise the requirements.

As mentioned before, the recovery ofWFEs with a short wavelength light is not un-common. X-ray optics systems commonly use grazing incidence because of the higher reflectivity than normal incidence. Active optics can be used for changing the focal point in X-ray micro-probing [89] or approaching the diffraction limit for biological applica-tions or astronomy [153]. Systems for X-ray astronomy need to be in space because of the absorption of X-rays through the atmosphere. These mirrors are actively adapted to correct for manufacturing issues or misalignment that is caused by the high forces dur-ing launch into space. Reid et al. [102] use bi-morph mirrors consisting of a piezo-layer and the mirror substrate for adjusting the mirror surface and adjusters for positioning. These grazing incidence mirrors are not suitable for the projection optical system for

EUV-lithography, since it relies on normal incidence. Additionally, they do not provide sufficient precision yet. An X-ray telescope with normal incidence is reported by Kita-moto et al. [69] and is using a CILAS [1]DMfor recovering manufacturing errors, but also does not sufficiently recover the wavefront to enable diffraction limited imaging of X-rays.

OtherDM-concepts are more exotic and some do not even have a specific applica-tion and are only menapplica-tioned for completeness of this survey. Liquids can be used since it is a deformable medium, either by having channels driving a membrane [143] or a continuous liquid-bath, that is actuated by electrostriction [128,137]. Ferro-fluidic ac-tuators can either be actuated by a magnetic field [25] or by thermal fields [131], using thermal expansion utilising the ferro-fluid as expansion medium. Thermal actuation can be a low-cost alternative using resistors and a membrane [138]. These concepts are clearly not suitable in satisfying the requirements. Fluidic actuators can for instance not be machined in the demanded shape and are undesirable in a vacuum environment.

1.5.

D

EFORMABLE

M

IRROR FOR

EUV-L

ITHOGRAPHY

Generally, conventionalDMs are not suitable forAOforEUV-lithography. They are not suitable for the process of manufacturing to the level of precision, and the conduction of heat is insufficient. Additionally, also most otherDMdesigns do not adequately address

(21)

1.6.ORGANISATION OF THIS THESIS 7

the stringent requirements ofEUV-lithography. Most of these systems have actuators that are mounted between a reference frame and the deformable mirror [105], which could cause mirror vibrations. Therefore, a customDMhas been designed that is quite similar to a deformable mirror to correct for thermal aberrations forLIGOs [80]. The presented design has a contactless thermal correction mechanism, utilising a spatially controllable radiating heat-source that actively heats up and deforms the mirror surface. The mechanism is fully utilising the compensation for its own deformation, resulting in a concept referred to as Active Mirror (AM) instead of aDM. ThisAMis fundamentally different in how it prevents to deform, but is similar to conventionalDMs when correct-ing wavefront errors of other mirrors in the optical system.

By using control algorithms, the zonal or modal approach can be utilised, however theAMis not based on either approaches. It is not based on the zonal approach, mean-ing that an actuator signal causes local deformation [40]. This is the classic way to im-plement aDM, which can be realised having a grid of discrete actuators. This actua-tion mechanism is also not based on the modal approach, that uses an actuator signal that causes specific orthogonal shapes, for instance Zernike-polynomials [149]. The val-idation of theAMin this thesis is based on the Instrument Transfer Function (ITF) in-stead, which provides the amplitude and the time-constant as a function of the spatial frequency.

1.6.

O

RGANISATION OF THIS THESIS

For matters of convenience, the chapters are comprehensible without reading the entire thesis. This has an other practical advantage: certain chapters or combination of chap-ters are meant for publishing. First, the projection optical system of anEUV-Lithography machine is analysed regarding its thermo-elastic behaviour due to the absorption of

EUV-light in Chap.2. From this analysis the requirements of theAO-system are deduced, and further specified in Chap.3. In this third chapter, theAO-system design is presented and it is shown how the design inherently fulfils most of the requirements. To validate the other requirements, an experimental set-up is build and characterised in Chap.4. These three chapters form the first part of the thesis in which Mechatronic System De-sign methodology plays an important role.

The second part demonstrates the specifications and the functionality of theAM. Chap.5analyses the deformable mirror regarding its linearity and obtains the charac-teristics by means of an instrument transfer function. Together with Chap.3it is meant as a journal article about the design and the verification of the properties of theAM. In Chap.6it is shown how to obtain actuator signals for obtaining Zernike polynomials, for applying whenever the modal approach would be used and is meant as letter. Chap.7

shows that theAMis suitable for anAO-system by means of feed-forward and feedback control, utilising the zonal approach, and is meant as journal article. Chap.8gives the final answer on one of the key issues ofAOforEUV-lithography: can it provide sufficient precision. Together with Chap.4it is meant as a journal article about the assessment of the precision of theAM. In the end the conclusions and recommendations are pre-sented.

(22)
(23)

2

T

HERMAL

A

BERRATIONS IN

EUV-L

ITHOGRAPHY

Due to thermal impact of Extreme UltraViolet (EUV)-light, the mirrors in the projection optical system of anEUV-lithography machine deform and cause WaveFront Errors (WFEs). In order to develop an Adaptive Optics (AO)-system to correct for thermal aberrations, an understanding is needed of the physics behind the thermo-elastic behaviour of the optics of anEUV-lithography machine. Therefore, a method is developed that can predict the thermo-elastic degradation of the wavefront. This method connects models from various physical disciplines to obtain the irradiance profile, thermal distribution and correspond-ing deformation of the mirrors, that result inWFEs. In order to illustrate the nature of the thermal aberrations, the intermediate results from the different physical disciplines are shown. This method can be used to obtain functional requirements for theAO-system such as the spatial distribution of actuators, required stroke, and resolution, and for pre-dicting algorithms that can compute the thermal deformation on-line for model based feed-forward control.

2.1.

M

ODELING

W

AVE

F

RONT

E

RRORS IN OPTICAL SYSTEMS

In order to improve the functionality of Integrated Circuits (ICs), the resolution of lithog-raphy machines that manufacture theseICs is improved by decreasing the wavelength to 13.5 nm. Using this short Extreme UltraViolet (EUV)-wavelength, the imaging pro-cess is critically distorted by a WaveFront Error (WFE) of more than 0.65 nm Root Mean Square (RMS). Due to the high absorption ofEUV-light by the mirrors in the projec-tion optical system of anEUV-lithography machine, the mirrors increase in temperature causing thermal expansion.

In order to predict the effect of the thermal load on the image quality, several analy-ses have been made on the thermal impact on the projection optical system of anEUV -lithography machine [101,121,150]. The prediction of the thermal behaviour is useful to compensate for tilt, defocus and other alignment related aberrations. These can be

(24)

10 2.THERMALABERRATIONS INEUV-LITHOGRAPHY

compensated by computer aided alignment procedures [28], but if the thermal aberra-tions are of too high order to be corrected for, it needs an Adaptive Optics (AO)-system to correct for these aberrations [150].

However, from literature it is not clear what requirements thisAO-system needs for correcting the thermal aberrations. Neither a full relation betweenEUV-input andWFE

is given that can be used as disturbance model for control purposes. Furthermore, these analyses are usually performed with in-house software, which is generally not accessible for the community. The main issue forms the coupling between the various disciplines, rather than the methods that characterise the physical phenomena itself. Known ex-amples of accessible tools for optical analyses are ray-tracing software like Code V and Zemax. Although the structural behaviour is sufficiently addressed in Finite Element Method (FEM)-packages, this behaviour is not adequately implemented in these opti-cal packages. It is possible to combine these software packages, but the main limitation comprises the interface between the software packages, since it can be cumbersome to establish and a lot of extra work is needed. A package that addresses some of the men-tioned issues is SigFit, that translates the structural behaviour of optical components to the optical packages [49]. However, the influence of the optical behaviour towards the structural behaviour (e.g. optical absorption) is not included in this package.

Generally, analytical approaches give clear insight in the physics in and around the optical system, they often are concise and can be utilised in mathematical software as MATLAB®[5]. Known analytical approaches that are developed eliminate system aber-rations for telescopes [117] and alternative optical systems [55]. Another analytical ap-proach comprises modelling system transformations [64], as the translation of optical components. Alignment algorithms are developed for lithographic systems [28], which comprise the rigid body movement of optical components. An important field inAOis turbulence modelling, which becomes complex in Multi Conjugate Adaptive Optics [43,

48,54,68]. The modelling approach is based on geometrical optics and is also used for microscopy [35].

There is a fundamental difference in analysing air turbulence and aberrations caused by the optical components of the optical system itself. In the first place, turbulence ex-hibits outside the optical system, while thermal aberrations exhibit inside the optical system. As a result, the shape of the optical component changes, so the optical system cannot be approached as a given transfer function. Second, in turbulence the aberra-tions are mainly random, while the thermal aberraaberra-tions of the optical components are mainly systematic. Third, light from stars are straight forward propagating through the atmosphere, but the propagation of light in an optical systems itself is non-intuitive, since it is the result of an optimisation procedure.

In order to analyse the thermal aberrations in detail, this chapter demonstrates a method to analyse anEUV-lithographic system on the thermally-inducedWFEs. It specif-ically shows the relation between the illumination profile and the heat-inducedWFE. In order to be useful for control purposes and for estimating the specifications of the

AO-system the method must be flexible, computational efficient, and give a clear idea about the physics and the effects behind the thermal behaviour. Lithography machines develop fast and the estimation has to be quantitative in order to be used for the opti-mization of designs, so design choices can be compared. Additionally, the model should

(25)

2.1.MODELINGWAVEFRONTERRORS IN OPTICAL SYSTEMS 11

be as much analytical or semi-analytical as possible, without introducing a too high level of detail. No other error sources as vibrations and manufacturing errors are taken into account, since it is the objective to focus on the thermal effects only. To achieve this, a full model is derived including all physical effects that are significantly contributing to the heat-induced wavefront aberrations.

The thermal deformation of the mask caused by the absorption ofEUV-light is in-vestigated by Refs. [6,84]. This deformation mainly causes image distortion but also defocus on the place of deformation. These effects are not taken into account in this analyses, but are seen as an independent phenomenon that can be superimposed if the amplitude of the aberrations is small.

2.1.1.

M

ODELING APPROACH

This chapter is organised by first providing a system description containing the relevant components of anEUV-lithography machine in the perspective of the models. Then a model is presented to obtain the irradiance profile in the pupil of the imaging system. This contains a model to obtain the diffraction and it includes the Out-of-Band (OOB) radiation. It uses the irradiance profile of the pupil of the illumination system, which is basically a setting that the user of a lithographic machine can adjust. This irradiance profile is an assist profile to obtain the irradiance profile on the mirrors.

For obtaining these mirror irradiance profiles a parametrisation is derived with the help of paraxial ray-tracing This parametrisation basically relates the pupil of the imag-ing system with the projection of the object points of the mask on the mirrors. This relation is based on a coordinate transform that is derived with the help of the paraxial approximation, using the optical design prescription from software such as Code V or Zemax1.

Using this irradiance profile, thermo-elastic models of the mirrors in the imaging system are made. This is a transient model i.e. relating the irradiance on the mirrors to a 3D temperature profile that evolves over time. This profile is related to the deformation of the mirrors, which is a static transformation. This is modelled in theFEM-package COMSOL Multiphysics®[2]. Furthermore, aFEM-simulation description for modelling the thermo-elastic behaviour is presented.

In order to determine theWFE, an expression is derived to determine influence func-tions for optical imaging systems. The influence function describes the wavefront change, caused by a deviation of an optical surface from its nominal shape due to any arbitrary reason. So it can be used for modelling mirror vibrations, thermal aberrations, the influ-ence of manufacturing errors orAOsystems without using optical ray-tracing programs. In this analyses this deviation is caused by a mirror deformation due to the absorption ofEUV-light.

1For the critical reader that is not familiar with these packages: the reliability of these packages is usually not

a question of flaws in the software packages, but in the expertise of the user. These packages are already used for more than 20 years, and innumerous systems that have been designed with the use of these packages have been build, forming an extensive validation of these software packages.

(26)

12 2.THERMALABERRATIONS INEUV-LITHOGRAPHY

Image forming path

P ro je c ti o n O p ti c s Il lu mi n a ti o n O p ti c s EUV Source Collector Field Facettes Pupil Facettes Condenser Mask/slit Mirror 1 Mirror 2/ Pupil plane Mirror 3 Mirror 4 Intermediate Image Mirror 5 Mirror 6 Wafer Illumination Path P ro je c ti o n O p ti c s Il lu mi n a ti o n O p ti c s

Figure 2.1: A schematic representation of the optical system of anEUV-lithography machine, which has been unfolded. The red areas represent the light of the Illumination Path and the Image forming path respectively, the grey areas the Image Forming Path and Illumination Path respectively. The illumination path shows that this illumination type ensures that every point on the source illuminates the mask evenly as shown left as the illumination path. Additionally, the image forming path shows that each point on the mask is being illuminated by the whole source as shown right as the projection path. This figure also shows the effect of the dipole illumination, which exposes the mirrors unevenly and causes local deformation. The yellow area represents possible light paths for other illumination conditions.

(27)

2.2.SYSTEM DESCRIPTION 13

2.2.

S

YSTEM DESCRIPTION

The system description below aims to provide sufficient information about the relevant components of an EUV-lithography machine to understand the modelling approach used in this thesis. A lithographic tool consists of a source, illumination optics, an ob-ject (mask), proob-jection optical system and an image-plane (wafer) and is represented by Fig.2.1. In general, the purpose of the system is to project the pattern of the mask onto the wafer at a diffraction limited resolution. This resolution is defined as smallest possible detail-size or critical dimension C D of the pattern and is described by:

C D = k1 λ

NA. (2.1)

In the lithographic process the resolution can be enhanced by decreasing the process factor k1, which is an empirical obtained number mainly dependent on the

illumina-tion condiillumina-tions, decreasing the wavelength (λ) or increasing the Numerical Aperture

(NA=n sinθ where θ is the opening angle of the optical system) at the image side.

Over the generations of lithography machines the resolution is continuously im-proved by reducing the wavelength from 436 in 1973 to 405, 365, 248 and 193 nm at present. The currently developedEUV-lithography machines useEUV-light with a wave-length of 13.5 nm. The mirrors in this system have Multi-Layer (ML)-coatings that are developed to have maximum reflectivity for this wavelength [77]. Unfortunately, the de-velopedEUV-sources have a portion of Out-of-Band (OOB) light with a power of approxi-mately 20% of the in-band light. Although a large part of the spectrum of theOOB-light is filtered out, there is stillOOB-light present in the projection optical system in the wave-length range of 140-400 nm [50,113], which is showhn in Fig.2.3. ThisOOB-light has an equal absorbtion as the in-band light and is thus relevant to take into account.

The illumination optics ofEUV-lithography machine are consisting of mirrors and are designed satisfying Köhlers illumination principle, which provides uniform illumi-nation on the mask [9]. This illumination system projects the irradiance profile of the pupil plane of the illumination optics on the pupil plane of the projection optical sys-tem. Characteristic of this illumination type is the projection of first and higher-order diffraction effects on the pupil of the projection optical system independent of the po-sition on the mask [82]. Additionally, these illumination optics have the ability to be ad-justed for several illumination profiles, to minimise the k1factor of Eq.2.1. Frequently

used profiles that can be used inEUV-lithography are conventional, annular, dipole, and quadrupole illumination. In order to enhance the resolution limit even more, source-mask optimization techniques are used, utilizing more flexible illumination settings, which enable endless variations of the illumination profile [86].

ML-coatings have a reflectance that is dependent on the wavelength and the angle of incidence [77]. Therefore, the optical lens design and theML-coating have to be de-signed together, to provide sufficient transmissivity of the optical system and have a low residualWFE[67]. The criterion often used for the allowableWFEin optical systems is Marechals criterion, stating that theRMS-WFEshould not exceedλ/14 to be able to

distinguish two bright point sources. In lithography also two dark points need to be dis-tinguished, which is properly done when theRMS-WFEis belowλ/20 [147] for systems with a Numerical Aperture (NA) of 0.25. The design of the projection optical system used

(28)

14 2.THERMALABERRATIONS INEUV-LITHOGRAPHY

in this chapter is from a patent [66] and has 6 mirrors which are defined as M1to M6as

shown in Fig.2.7. The 6-mirror configuration is not identical to that of the production

EUV-lithography machine, but is sufficiently representative for the purpose of this the-sis. Although a 6 mirror configuration has less transmittance, it has more degrees of freedom for the design optimization than a 4-mirror configuration, which is for example used for theEUV-Engineering Test Stand [130]. This higher degree of design freedom can be used to enlarge theNAto enhance the resolution. The projection optical system used in this case study hasan NAof 0.25 which is equal for the proposedEUV-lithography machines in Ref. [78,144].

For mask manufacturing purposes, the mask is 4× larger than the projected area on the wafer. This does not necessarily imply that the minimal feature size of masks is 4× bigger, because the mask can contain assist features for Optical Proximity Cor-rection [82], which is used to increase the image quality and therefore decreases the k1

factor. The projection optical system is thus a reduction system.

Lithographic tools use a scanning system that exposes a limited area of the mask instantaneously, while synchronously moving the mask and the wafer to expose all dies on the wafer, which are surface areas of maximal 26×33 mm. The exposed area on the mask is the slit and has a curved shape as can be seen in Fig.2.6. The slit is 104 mm in x direction, 8 mm in y direction and has a radius of 120 mm. In this fashion, the size and complexity of the projection optical system is smaller compared to a system that would be able to expose one die instantaneously. ForEUV-lithography this scanning system is even more important by the increased number of geometrical constraints, i.e. the mirrors fold the light, and obstruct the straight path from the mask to the wafer.

In order to obtain a realistic use-case, the mask is modelled having dense lines and spaces, that is uniformly distributed over the mask, in combination with a dipole illumi-nation. This is considered a realistic worst-case scenario, since the dipole illumination in combination with the pattern of dense lines and spaces causes a concentration of the light to small areas on the mirrors, resulting in high local irradiance levels on the mirrors, illustrated in Fig.2.5.

2.3.

T

HE IRRADIANCE IN THE PUPIL OF THE PROJECTION OPTI

-CAL SYSTEM

The main variables in a lithography machine, concerning the pattern that is projected on the wafer are the illumination profile, the source power and the mask. In this case study the illumination optical system has y-dipole illumination withσo= 0.9, the

rel-ative outer diameter andσi= 0.4, the relative inner diameter of the source function

and have an opening angle of 90◦, as defined in Fig.2.2. TheEUV-optical power that is needed after the mask is 7.8 W, and is motivated in the next paragraph. The mask has a profile of dense lines and spaces in the y-direction with a half pitch of 90 nanometre (22.5 nm at wafer-level).

Before obtaining the spatial distribution of the irradiance, the power level after the mask is determined. This is approached form the wafer to the mask, in which the mask has not been taken into account. In order to be economically viable, theEUV-lithography machines needs a throughput of 125 wafers per hour, which have a diameter of 300 mm.

(29)

2.3.THE IRRADIANCE IN THE PUPIL OF THE PROJECTION OPTICAL SYSTEM 15

θo σo σi

Figure 2.2: The definitions of the outer diameterσo, the inner diameterσiand the opening angleθo. The outer

diameterσoand the inner diameterσiare defined relative to the size of the aperture, thus in general have a

value smaller or equal to 1.

Depended on the type of photoresist, it needs a dose of 15 mJ/cm2[144], so the power on wafer level is determined to be 0.37 W by integrating the dose over the exposed area. In the path from the mask to the wafer, the power of light is decreased due to absorption on the mirrors, approximated with 35% on each mirror, and due to diffraction orders that fall outside the pupil. The pitch of the lines and spaces is in the same order of magnitude as the wavelengths causing a clearly distinguishable concentration of the exposed light in certain directions by diffraction. The diffraction orders have different power levels rel-ative to the total power after reflection on the mask; the zero-order has 50%, because the lines have the same width as the spaces, and the first and minus first-order diffraction together contain 25%. The different diffraction orders have different angles with the op-tical axis, which causes a loss, since either the first or the minus first-order falls outside the pupil, as the second and higher orders will do, as shown in Fig.2.5, because of the illumination profile. For this specific case, this will result in a power loss of 37.5%.

2.3.1.

D

IFFRACTION PATTERN IN THE PUPIL

The irradiance profile on the pupil plane is the combined result of the illumination pro-file and diffraction on the mask. It is firstly assumed that a small section of the mask is sufficiently representing the mask, so that the phenomena that occur on this section can be extrapolated for the total mask. With this assumption, it is easier to simulate a worst-case scenario and the complexity is significantly reduced, because the mask has too many details to efficiently take into consideration. Besides, it would not give more insight in the physics behind the heat-induced wavefront aberration. In order to de-termine the diffraction pattern the Fraunhofer approximation can be used, because the pupil is the far field. This results in a Fourier transform to calculate the electric field of the diffraction pattern Eλ( fx, fy):

Eλ( fx, fy) = F Eλ(xm, ym), (2.2)

in which fx= ˜x/(λz), fy= ˜y/(λz), which are the spatial frequencies of the mask, z the distance from the mask, ˜x and ˜y the coordinates on the pupil plane of the projection

op-tical system and xmand xmthe coordinates on the mask. In order to include the impact

of the Out-of-Band (OOB) radiation on the thermal deformation of the mirrors, the zero-order diffraction term is increased. This is justified by the relevantOOB-radiation, which

(30)

16 2.THERMALABERRATIONS INEUV-LITHOGRAPHY 200 300 400 0 0 20 20 40 40 60 60 80 100 wavelength (nm) sp e c tr a l e n e rg y J/ n m) M o /S i mi rr o r re fl e c tiv ity (% ) at source at IF point (calc.) reflectivity

Figure 2.3: Spectral emissivity ofOOBradiation and the reflectivity of the collection mirror in the 130-400 nm range. These data result from a study that is presented at EUVL at Sapporo 2007. Courtesy of Sakaguchi et al. [113]

is in the band of 140-400 nm (see Fig.2.3), so the other diffraction orders fall outside the pupil of the projection optical system. To illustrate this justification and to determine the numeric value of the increase, the analyses is continued by obtaining the wavelength dependent diffraction pattern Eλ( ˜x, ˜y,λ). This is realised by the relation between spatial frequencies ( fx, fy) and the wavelength (λ). Furthermore, in this analysis the wavelength dependent irradiance profile of the diffraction pattern Id,λ( ˜x, ˜y,λ) is more relevant than the magnitude of the electric field:

Id,λ( ˜x, ˜y,λ) = |Eλ( ˜x, ˜y,λ)|2. (2.3) In order to obtain the irradiance profile resulting from diffraction Id( ˜x, ˜y), the

wave-length dependent irradiance profile Id,λ( ˜x, ˜y,λ) has to be integrated over the wavelengths of interest. In this caseλuis the upper limit at 400 nm andλbthe bottom limit at 13 nm.

Additionally, the contribution of each wavelength must be weighed with the spectral power Si(λ), which is different for each mirror, indicated by i :

Id,i( ˜x, ˜y) =

Zλu

λb

Si(λ)Id,λ( ˜x, ˜y,λ)dλ. (2.4) Relevant in the relation between spatial frequencies fx, fyand positions ˜x, ˜y on the pupil plane, is that a difference in the wavelength results in a stretch of the diffraction pat-tern over the pupil plane, proportional to the difference in wavelength. In this perspec-tive it is observed that the distance between the zero and first diffraction-order of the

OOB-radiation is at least 10× larger compared to this distance of the in-band radiation. Considering feature sizes on the mask of 90 nm, the first-order diffraction of theOOB -radiation falls outside the pupil2. The conclusion of this evaluation is the validity to ne-glect the non-zero order diffraction of theOOB-radiation. Therefore, Eq.2.3is evaluated 2Although it is assumed that the mask only consists of dense lines and spaces, it may be relevant to extend this

discussion for masks that have feature sizes that are significantly larger than 90 nm. The first-order diffraction of these features may fall within the pupil, the first-order diffraction resulting fromOOB-radiation is spread much more, since the spectrum is spread over wavelengths from 130 to 400 nm. This decreases the irradiance level of the first-orderOOB-radiation significantly.

(31)

2.3.THE IRRADIANCE IN THE PUPIL OF THE PROJECTION OPTICAL SYSTEM 17 10 10 100 1000 10000 100 1000 10000 λ(nm) λ(nm) 0.0 0.2 0.4 0.6 0.8 1.0 R e fl e c ti v it y

Mo/Si, bilayer, uncapped

Ruthinium 10 deg. 15 deg. 20 deg.

(a) (b)

Figure 2.4: Spectral reflectance R of normal (a) and grazing incidence mirrors (b) by courtesy of S. A. George [50]. These spectral reflectances were obtained by a model (a) using a plain Mo/SiML-coating and (b) using Ruthinium coating with differnce angles of incidence.

for 13.5 nm and the portion of the zero orderOOB-radiation pOOB,iadjusted for mirror i

is added:

Id,i( ˜x, ˜y) = Id,λ( ˜x, ˜y,λEUV)(1 + pOOB,iδ( ˜x, ˜y)), (2.5)

in which pOOB,i= 1 Si(λEUV) Zλu λb Si(λ)dλ. (2.6) In order to obtain the spectral power Si(λ), the same model is used as in Ref. [50], which uses the model to obtain the intensity of theOOB-radiation on wafer level. In this ref-erence, the mirrors are modelled as spectral filters as shown in Fig.2.4, which filter the radiation of the source. The spectral density of the light on a mirror Siis given by:

Si(λ) = (Rm(λ))ni +i −1

¡

Rg(λ)

¢ng

Se(λ), (2.7)

in which Rmis the reflectivity of the normal incidence mirrors, Rgthe reflectivity of the

grazing incidence mirrors, that are used in the illuminator and Se(λ) the spectral

emit-tance of the source. Parameters ni and ng are the number of reflections in the illumi-nator of the normal incidence and grazing incidence mirrors respectively. After Si is determined, the ratio between the in-band and Out-of-Band (OOB) can be determined. This ratio will be used to adjust the magnitude of the zero-order diffraction. The portion ofOOB-radiation pOOB,i per mirror, using 26%OOB-radiation on the source is shown in

Table2.1[113].

2.3.2.

P

UPIL IRRADIANCE PROFILE

Using linear system theory for optics [23,56], the irradiance distribution on the pupil plane is obtained, wherein the illumination profile is included. It is the result of the de-termined diffraction pattern and the illumination profile and is used as an assist profile

(32)

18 2.THERMALABERRATIONS INEUV-LITHOGRAPHY [W/m2] 1st+0thorder 0thorder 1storder ˜y ˜ x 2/5 11/40 1/8 Minus First Order Zero Order First Order −2 −1 0 1 2 0 1/8, 11/40, 2/5, −2 −1 0 1 2

Figure 2.5: The normalised pupil irradiance and the aperture stop, shown as solid black line, in normalised pupil coordinates. The zero-order diffraction has an in-band irradiance of 1/4 W/m2compared to 1 W/m2on the mask, plus 10% out-of-band irradiance. The first and minus first-order diffraction, indicated by the dotted circles have 1/8 W/m2irradiance. The largest irradiance is 2/5 W/m2are spots in the pupil, which is the sum of these values.

to determine the irradiance profiles on the mirrors. In principle, this assist profile is the irradiance profile of the pupil plane of the illumination system with additional diffrac-tion effects, caused by the mask. It also includes different irradiance levels, since the spectrum Si(λ) is different for each mirror. The source function Is(xs, ys) describes the

irradiance profile on the pupil plane of the illumination system, in which xsand ysare

the coordinates. A point on this pupil plane corresponds to an angle on the mask, so the source function describes the intensity of the light as a function of incident angles on the mask. Additionally, in the case of lithographic sources, all points on the object plane equally contribute to the pupil irradiance. This effect can be described using the Abbe imaging theory, which is generally used for imaging analyses [57]. The pupil irra-diance IP,i can be expressed as the convolution of the diffraction pattern and the source

function:

IP,i( ˜x, ˜y) =

ZZ Ap

|Id,i( ˜x − xs, ˜y − ys)|2S(x˜ s, ys)P ( ˜x, ˜y)dxsdys, (2.8)

in which Id,i is the diffraction pattern for one incident angle on the mask, which is

de-fined in Eq.2.5. In this equation the source function is normalised according to ˜Is(xs, ys) = Is(xs, ys)/

RR

Is(xs, ys)dxsdysas is done in Ref. [82], P is the pupil function in which P ( ˜x, ˜y) =

(33)

2.4.SYSTEM PARAMETRISATION 19

area of the pupil. The resulting pupil irradiance IP,i( ˜x, ˜y) is shown in Fig.2.5.

In this figure the zero, first and minus first diffraction orders are distinguished by the three circles that show the projection of the pupil plane of the illumination optical system. Compared to an irradiance on the mask of 1 W/m2, the zero order is 1/4 W/m2, theOOB-radiation is 1/40 W/m2and the the first and minus first are 1/8 W/m2. The zero

and (minus) first order coincide at the resolution limit C D of Eq.2.1, using a pattern of dense lines and spaces on the mask resulting in the sum of these irradiances being 2/5 W/m2. In this figure normalised values are chosen for demonstrating the method to obtain the pupil irradiance profile. The ratio between the different diffraction orders remains the same for all mirrors, but theOOBis different for each mirror as is shown in Table2.1. Additionally, in contrast to a mask with a less structured pattern as chosen for this example, a mask that only consist of dense lines and spaces results in the clearly distinguishable diffraction orders. The irradiance of the zero order diffraction is always a copy of the irradiance in the pupil of the illumination optical system.

M1 M2 M3 M4 M5 M6 unit

Magnification (Mi) 0.56 0 -0.56 -2.4 -0.16 0.25

Radius object point (Ri) 98.5 142 70.2 137 -61.2 -242 [mm]

ZCT 27.9 25.1 25.4 22.4 24.9 22.2 [◦C]

OOB-radiation 12.7 11.5 10.6 9.8 9.2 8.6 [%]

Table 2.1: The parameters used to determine the mirror irradiance profiles are obtained with Zemax using the data of a patent [66], but could also be obtained by paraxial ray-tracing. Since M2 is a pupil mirror, the slit is projected as a point, so its magnification is 0. The Zero Crossing Temperatures (ZCTs) are iteratively obtained, resulting in minimal deformation after one hour exposure with a uniform illumination profile [71]. The portion ofOOB-radiation is obtained by Eq.2.6.

2.4.

S

YSTEM PARAMETRISATION

The irradiance on the mirrors can be obtained using the pupil irradiance distribution that is obtained in the previous section. It is obvious that all points on the mask con-tribute to this irradiance level. In other words, this profile is a superposition of the pupil irradiance profile of all object points. For the irradiance profiles on the mirrors the idea of superposition is also used, with the remark that the contribution of each object point is dependent on its position. This projection of the object points is circular having a certain radius and position of the origin. In order to obtain this radius and position, a parametrisation is utilised that has the radius of the object point and the magnification of the projected slit Sl (x, y) as parameters as shown in Fig.2.6. The projected slit is de-fined by the area that is covered by the chief rays on the mirror. These parameters are different for all of the mirrors. Besides using this parametrisation for obtaining the ir-radiance profile on the mirrors, this parametrisation is also used for obtaining theWFE

from the obtained mirror deformations. This parametrisation is presented in [109]. Since ray-tracing software is generally used to obtain the nominal surface shape, it would be convenient to use the data format of the software that is known as optical

(34)

de-20 2.THERMALABERRATIONS INEUV-LITHOGRAPHY

sign description. Hence, this data format is taken as a starting point to derive parameters that are used in this method. To realise this, three different coordinate sets are distin-guished, namely the absolute coordinates, being the coordinates of the optical system, the global coordinates x being the coordinates on the optical component and the local

coordinates ˜x, being the coordinates in the object point, which are shown in Fig. 2.7. These different coordinate systems are expressed in the parameters (Paragraph2.4.3). Finally, a coordinate transform is defined, that relates the global and the local coordi-nates.

2.4.1.

A

SSUMPTIONS

Five observations of the projection optical system of theEUV-lithography machine make a parametrisation significantly easier:

1. The Angles Of Incidence of the system are lower than 10◦, so the effect of the Angle Of Incidence on the wavefront change can be neglected.

2. The deformations of the mirrors are smaller than 10 nm, so a linear relation can be assumed between the deformation and the wavefront aberration.

3. Lateral deformations have minor effects on the wavefront change. Therefore, only the perpendicular contribution of the mirror deformation is taken into account. 4. The aspheric departure values are below 2µm. Since it has no or only a small

contribution to the surface deformation, this is neglected.

5. The optical system has a circular aperture, which implies that the object points are circular3.

With these assumptions the paraxial approximation can be used, which is widely known and used in literature [61].

2.4.2.

M

AGNIFICATION AND RADIUS

In order to relate the local and global coordinates the optical system is parametrised as a set of radii of the object points and the magnification of the object (slit) projected on the optical surfaces, as shown in Fig.2.6(a). These parameters are obtained, using paraxial ray-tracing formulated in the following expression[61]:

½ y1 θ1 ¾ = T0 ½ y0 θ0 ¾ , (2.9a) ½ yi θi ¾ = N Y i =1 TiRi ½ y1 θ1 ¾ , (2.9b)

in which y0andθ0is the initial coordinate and angle the ray is leaving the object plane

and yi andθi the coordinate and angle of the same ray after I surfaces. The number 3It is easy to generalise it to any shape of the aperture.

(35)

2.4.SYSTEM PARAMETRISATION 21

Optical Axis Slit

Radius Object Point

Projected Slit

Magnification

Figure 2.6: The parametrisation of the optical components has the magnification and the radius of the object point as parameters. The magnification is the ratio between the size of the slit and the projected slit, which are the curved rectangles or ring-fields. This magnification ratio also accounts for the radius of the ring-field.

of surfaces is defined by N andTi andRi are the transmission and reflection matrices respectively for which:

Ti= · 1 |di| 0 1 ¸ , (2.10)

in which di is the distance between two (optical) surfaces as indicated in the optical design prescription, which is a positive number in the paraxial approximation. Since the definition used in ray-tracing software is different from paraxial ray-tracing, the absolute value is taken.

Considering a system with mirrors the reflection matrix is defined by:

Ri=   1 0 −2 · sgn(di) ri 1  , (2.11)

in which ri is the radius of the surface for the it h element of the optical system. As in Eq.2.10, the definition for tracing programs is different from the analytical ray-tracing, so the signum function (sgn) is added to the refraction matrix.

The magnification of the projected object on the optical component is the ratio be-tween the object point on the object plane, and the coordinate of the chief ray leaving the object point and crossing the optical surface. Using Eq.2.9yi is determined and the magnification factor miis expressed as:

Cytaty

Powiązane dokumenty

R eferent poruszył zagad­ nienia: „nowej kultury ekologicznej” jako wyzwania dla ekologii człowieka, globalizacji gospodarki i społeczeństwa jako podstaw o­

Many business people face the lack of knowledge and information, which on one hand make it easier to spread and deepen wrong stereotypes connected with this notion and on the other

Networking w gdańskiej turystyce kulturowej nie ogranicza się do członków Unii Europejskiej – współpracę zapoczątkowano także z takimi krajami jak Izrael lub Irak

P ierw szy n osi tytuł: Rozumieć rze­ czywistość (ss. Trzon tomu stanow ią zagadnienia klasycznej m etafizyki {Rozumienie rzeczywistości, Struktura bytu, Ku

between 114 and 118 mm, a numerical aperture of 0.25, a magnification of 0.25, distortion below 1 nm and all incidence angles on the surfaces below 15˚.. Conditions: variables:

The current number of available lifting days for installation is small due to wind limitations, and will become even smaller when turbine height and blade size increases.. To

Although taking strategic locations in city cen- tres, China’s inner-city station areas are isolated from typical urban life: the close and static operation turns multi-modal

Do cech odzieży jednorazow ej należy zaliczyć: brak zapięć, często rezygnację z konstruowania pleców, brak podkroju pod pachami oraz zszywania i wykańczania