• Nie Znaleziono Wyników

Digitale schakeltechniek: Van probleemspecificatie tot realisatie, deel 2

N/A
N/A
Protected

Academic year: 2021

Share "Digitale schakeltechniek: Van probleemspecificatie tot realisatie, deel 2"

Copied!
316
0
0

Pełen tekst

(1)

.... Synchronisatie

I

,

BESTURING

,

Instelsignalen

I

Klok

I

I

Testsignalen .... DATAPAD

,

!

(2)
(3)

DIGITALE SCHAKELTECHNIEK

van probleemspecificatie tot realisatie

door ir.

A.P.

Thijssen, ir

.

H.A.

Vink en prof.ir.

C.H.

Eversdijk

JL

.e(,

!

llllll

jJ

i~II~II

!~

/1'11

~

I

lil

111111

11

ijllllllll

llllllllllll ili/il

~llli

1111111111111/111

~

111

1111 /m

IIIIIW

111

1/111

!I'ill

~Idll

llllllillill

IIlill lll lll ll lllil li ll ill »ll ll l/lllllll ll lllll!IIllil

m

il1

11111

deel 2

BIBLIOTHEEK TU Delft p 1693 5213

11111111111111111111111111111i11I1

c

510168

Vereniging voor

Studie- en Studentenbelangen te

i.rern - 17u ...

(4)

ii

CONCER N/NG SOME ASPECTS OF MA THEMA TICAL ED UCA TION.

Once children learn tospeak, it is fun Toask them , "What is 1+1? "

For when they answer, "Two", we know Their knowledge hasbegun togrow. Later, they study lateat night, To grasp therules of logicright, And learn, from Booleand other men, That 1+1 is 1again.

Still later, when they learn to do Adding, and higher things, mod 2, And drinkof wisdom as they ough t, They find the answer no w is, "Naught ". Themoral is,asyou mightguess: He who nows more will answer less.

Franz E.Hoh n (Gastdocen t T.H. Delft 1964-'65 )

(5)

iii

VOORWOORD

Veel van hetgeen ons bij het samenstellen van dit bock voor ogenstond, isreeds weerge-gevenin het voorwoord van deel 1.De verdere ervaringmet het ontwerpen volgens de in beidedelen gegeven richtlijnenheeft geleerd, dat systematischontwerpen vele voordelen biedt. Onder meer omdat de tijd,benodigd voor het testen vanaldusontwo rpen schake-lingen, kort blijkt te zijn.Veel fouten worden er in de specificatiefase reeds uitgehaald resp.kunnen worden vermeden.

De in deel I geintroduceerde begrippen, zoals het sequentiëlemachine modelen de cornpa-tibiliteitsrelatie met de bijbehorende boekhoudmethoden, zijn algemeen toepasbaar. Het toepassingsgebiedbeperkt zich niet tot onderwerpen uit deschakeltechniek,variërendvan level mode schakelingen tot besturingen voor clock mo de schakelingen.Ditisde reden van een wat uitgebreidere theoretische behandeling van een aantal toepassingenervan in de hoofdstukken 18 t/m 20. Deze stof vormt o.a.de basis voor het theoretische gedeelte van het college Schakeltechniek

m

.

De hoofdst ukken 11 en 12 behandelen de elementaire rekenk undige bewerkingen optellen, aftrekken, vermenigvuldigen en delen. Er is naar gestreefd om niet alleen de hiervoor gang-bare bouwstenen te bespreken, maar ook de aan het on twerp van deze bouwstenen ten grondslag liggende problematiekte behande len.Zo is met name de look-ahead carry gene-rator uitgebreidbesproken, mede omdat het transportprobleem(ripple carryversussnellere oplossingen) niet alleen bijoptellers optreedt.

Codesen code-omzetters zijn het onderwerp vanhoo fdstu k 13. Aande late beha ndeli ng van dit onderwerp -een gebruikelijke plaats in boekenover digitaleschakeltechniek is in een van de eerstehoofdstu kken- ligtde wens ten grondslag om ook serie-paralleloplossin -gen als alternatief voor parallel werkende code-omzetterste kunne ntone n.

De inhoofdstuk 14 behandelde voorbeelden van het ontwerp van scha kelingendienen om de lezer kennis te laten maken met enkeleaspecten van het ontwe rpenvan digitale schake-lingen, met name metdie aspectendie nietpassen in de voorafgaand e meer onderwerpge-bonden hoofdstukken.

De behandeli ngvanhet ontwe rpe nen realiseren van de besturing inde hoofd stukk en 15 en 16 is gebaseerdop de scheiding dat apad - besturing. Tijde nsde ontwerpfase wordt ge-bruik gemaaktvan het mod el van de sequentiëlemachine envanandere theoretische hulp-middelen zoals de equivalen tierelatie en de compatibiliteitsrelatie.

De verschillende aspectenvan het ontwerpe n van besturingen komenter sprake via een uit-werkingvan enkelevoorbeelden.Men zou een meer abstrac te behandeli ng van de aan het ontwerpen van besturingen tengronds lagliggende theoriekun nenwensen.Dit zou ech ter een vertekendbeeldgeven,omdat bijeen concree ton twer paltijd velebijkomende prak ti-sche overwegingen van invloed zijn op de keuzevan de defin iti eve realisatie. Ho ofd stuk 17, de organisatie van de data-overdracht, besluithet ontwerpe n van digitale schakelingen. Bij he t samenstellen van deze teksthebben wij wedero m dankbaar gebr uikgemaakt van de inbreng vanvele stu den ten. Hunervaring met taakopdracht en en hunsuggesties naar aan-leidinghiervan hebbe n in belangrijke mat e bijgedr agen tot dehuidige opzet. Van destaf van de vakgroep Schakelt echn iek c.a.hebb en met nam edediscussiesmet ir. R.B.Koolhaas en ir.C.l.van Spi onsen een welko me bijdragegeleverd.Mevr.C.E.Pauw els-Brekelman s wordt bedankt voor het uitt yp en van verschillende delen van het manuscript.

Een woordvan waarderingaan demed ewerk ers/m edewerkst ers van de "Verenigingvoor Studie-en Stu dente n belangen te Delft" magwed erom niet on tbreke n. Delangetijdsduur tussen het verschij nen van beide delenisniet aan hen te wijten. Hunbereidhe idomeen niet aflatende stroom wijzigingente verwe rke nen aan te bre ngen,alsme de de snelhe idvan werken, maaktedeze samenwe rking voor onstot een pretti ge ervaring.

Voor opbouwende kri tiekensuggesties to t verbetering hebben de aute urseenopenoor. De na het verschijnen van deel I ingestelderegeling:

"vijf fouten en/ofverbeteringen (eerste aanmelding)=koffie met koek" blijftook voor deelII van toepassing.

Delft, maart 1980 A.P.Thijssen

H.A. Vink C.H.Eversdijk

(6)
(7)

v

INHOUD DEEL 11

11. OPTELLEN EN AFTREKKEN

,

11.1. Het opte llen van positieve binair gecodeerde getallen 269 11.2 .Optellen en aftrekken via modulus-en-tekenbit 273 11.3. De two's complement representatie 275 11.4. De one' scomplement representatie 280

11.5. De look-ahead carry generator 284

11.6. Optellen en aftrekken in de BCD-en Excess-three

representatie 292

11.7. Het ten's en het nine's complement 295

Opgaven 299

Literatuur 301

12. VER MEN IGVU LDIGEN EN DEL EN

12.1. De parallel vermenigvuldiger voor gehele positievegetallen 303 12. 2 . De serie-parallel vermenigvuldiger voor positieve getallen 308 12.3. Serie-parallel vermenigvuldigers voor getallen in de two's

complement representatie (Booth-algorit me) 310 12.4. Serie-parallel vermenigvuldigers van het type 2xn bit 314 12 .5. De deling in het binaire talstelsel 316 12.6. Toepassing van complementaire codes op de deling 319 12.7 . Serie-parallel deelproceduresvoor positieve getallen 320 12.8. Serie-parallel deelprocedures voor getallen met teken 324

12 .9. Parallel uitgevoerde delers 325

Opgaven 327

Literatuur 328

13. CODE SEN CODE-OMZETTE R S

13.1. Voorbeelden van codes 329

13.2. Co deconversie 334

13.3. BCD-BlN omzetters 336

13.4. BlN-BCDomzetters 341

13.5. Foute ndetect ere nde en -corrigerende codes 346

Opgaven 352

Literatuur 354

14. VOORBEE LDENVAN HET ONTWERP EN VAN DIGITALE SCHAK ELING EN

14.1. Een schakeling om enen in een woord naar rechts te

schuiven 355

14.2. Geschakelde klokpulsen 363

14.3. Het ontwerp van een spanningskeuze schakeling 369

14.4. Data-overdracht 380

14.5. Nabeschouwing 388

Opgaven 389

Literatuur 390

15. DESPECIFI CATI EVANDEBEST URI NG

15 .1. Inleiding 391

15.2. Voorbeeld van een besturingsspecificatie 393

15.3. Enkele aanvullende opmerkingen 402

15.4. De reductie van het aantal instelsignalen 403 15.5. Decentralisatie van de besturingsopzet 412

(8)

vi 436 439 431 434 443 447 450 454 46 2 464 15.6. De voorlopige besturingsspecificatievan een serie-p arallel

BIN-BeD omzetter 414

15.7 . De defini tie vebesturingsspecificatie 420 15.8. De realisatie van de besturingsschak eling 424 15.9 . Enkele overwegingen bij het kiezenvan de bouwstenen 426

Opgaven 430

Literatuur 430

DEREALISATI EVAN DE BEST URING 16.1. De realisatie met losse componenten

16.2. De realisatie met een register/ROM combinatie 16.3. Mogelijkheden tot beperking van de omvang van het

besturingsgeheugen

16.4. Enkele beschouwingen over het testveld

16.5. Een nadere beschouwingvan het geihtroduceerde besturingsmodel

16.6 .Voorbeeld van een aangepaste besturingsspecificatie 16.7. Stored program besturingen

16.8 . De toepassing van PLA's bij de realisatie van besturings-logica

Opgaven Literatuur 16.

17. DEORGANISATIE VAN DE DATA-OV ERDRACHT 17.1. Data-overdracht binnen één datapad

17.2 .Data-overdracht tussen verschillende apparaten 17.3. Data-overdracht op basis van een interrupt 17.4. Synchronisatie van de data-overdracht 17.5. Standaard Interfaces Opgaven Literatuur 466 473 475 478 483 488 490 18. DE EQ UIVALENTI ER ELATIE EN DE COMPATIBILITE IT SRELATIE

18.1. Eigenschappen en toepassingen van de equivalentierelatie 18.2. Eigenschappen en toepassingen van de

cornpatibiliteits-relatie

18.3. Het bepalen van de verzameling~ van maximale

compa-tibele klassen . Opgaven Literatuur 491 494 498 502 503 19. DE REDUCTI EVANTOESTANDSTAB ELL EN

19.1. Het model de sequentiële machine 19.2. De werking van een sequentiële machine

19.3. Het bepalen van de partitie van equivalente toestanden 19.4. De constructie van de minimale machine voor een volledig

gespecificeerde sequentiële machine

19.5. Equivalentie van volledig gespecificeerde sequentiële machines

19.6. De minimale equivalente machine van een onvolledig gespecificeerde sequentiële machine

19.7. Het bepalen van de verzameling~ van maximale compa-tibele klassen

19.8. Het construeren van een gereduceerde machine voo r een onvolledig gespecificeerde sequentiële machine

504 508 509 515 517 518 521 524

(9)

19.9. Een methode voor het bepalen van alle mogelijke mini-male machines van een onvolledig gespecificeerde

sequen-tiële machin e 531

Opgaven 533

Literatuur 535

20 . OV ER GA NGS V ERS CHIJNS EL EN ENCODE R INGEN BIJ LEVEL MODE SEQ UENTI ËL ESCH AK ELINGEN

20.1. Toestandscod eringen en kritische racecondities 537 20.2. De formulering van de aan toestandscoderingen te stellen

eisen 540

20.3. Liu-en Tracey-coderingen 543

20.4. Toepassing van transitieparen bij de keuze van een

om-. legging 547

20.5. Problemen rond de invoer van data en commando's 549 20.6. Risico's nij de realisatie van level mode sequentiële

schakelingen 551

Opgaven 555

Literatuur 557

(10)
(11)

Lijst van symbolen en afkortingen

(IJ exclusieve OF .6.t vertragingstijd

+ logische OF, optellen .6.t maximale vertragingstijd max

logische EN, produkt 0 toestandsfunctie aanduiding NIET Q uitgebreide

toestands-don't care, functie

aftrekken

S

verzameling van

maxi-ti logische EN male compatibele

klas-v

logische OF sen

n

doorsnijding À. uitgangsfunctie

U vereniging À. uitgebreide

uitgangs-E behoort tot functie

gelijk aan

A

lege rij, nulrij

=1= ongelijk aan

rr

produktterm

>

groter dan 11 partitie

>

groter dan of gelijk aan p partitie

<

kleiner dan AC acceptor control

~ kleiner dan of gelijk aan ALU arithmetic logic unit

compatibel met AND EN-poort

-I- incompatibel met Aa acceptor operabie

.t

k-compatibel met A-O-I AND-OR-INVERT

compatibel (driehoek) ASCII American National Stan-x incompatibel (driehoek) dard Code for

Informa--

equivalent met tion Interchange

~

niet equivalent met ATN attention

k-equivalent met a. bit ai' variabele ai 1

-l>

dynamic input BCD binary coded decimal

-9

negatie aan ingang BIN binair

--"i

polariteitsindicator BLK blokkeer

--, uitsteloperator b. bit bi' variabele bi 1

~ waaruit volgt C check, command input,

~ idem, en omgekeerd dekking, klokingang

Ll... Schmitt-trigger ingang C.1 inkomend transport <îq.i> geordend paar: qEQen Ci+! uitgaand transport

iE I CN complement van N

V x V Cartesisch produkt CMOS complementary metal-l Vi J klasse van v.1 oxide semiconductor {Vi} (dee1)verzameling met Vi CS combinatorische scha-lil ...in

1

rij van n ingangssyrnbo- keling

len CT content, count

I kn 1 kilo Ohm CTn content == n

IN\ modulus van N CTDN count down

alog logaritme, grondtal a CTR counter

a klokpuls CTUP count up

f3

klokpuls D D-ingang, deeltal

r

q verzameling van voor DA data available toestand q gespecificeer- DAV data valid de ingangswoorden

(12)

x

DEC decimaal Li+l uitgaand leen

DR data request LD laad, load

DTL diode-transistor logica L(J) lengte ingangswoord J

d deler, don't care, LSD least significan t digit

Hammingafstand Mi ie maxterm

dmin minimum Hammingaf- MHz megahertz

stand Mn mode ingang n

E+ hoogste voedingsspan- MSD most significant d igit

ning m mantisse, mode-signaal

E laagst e voedingsspanning m. ie minterm

1

EBCD IC Extended BCD Int er- mod-2 modulo-2

cha nge Code N gelal

ECL emir ter-co up led lo gic N2 getal, in tweetallig stelsel

EF,EN enab le fu nctie N

I O idem, tientallig

EO enab le ou t NB1N id em, in BIN

represen-E-O-I end -er-ide ntify tatie

ET excess- three NBCO idem, in BCD

represen-EX-O R exclusieve OF ta tie

F false NET idem, in ET

representa-FA fu ll adder tie

FF flip-flo p NOEC idem, in decimale

repre-f(x,y,z) afbeelding, functie in sentatle

x, y en z NAND NIET-EN poort

f. ie functiewaarde NDAC not data accepted

1

G carry genera te functie NOR NIET-OF poort

G· . idem, over secties i t/m j NR nummer

1-)

g(x,y,z) afbeelding, fu nctie in NRFD not ready for data

x, y en z ns nanoseconde

H ho o g OR OF-poort

HA hal f adder P carry propagate functie

HLD hol d , hou d p. .1- ) idem, over secties i l/m j I,

!

(ui tgebre ide ) inga ngs- PE priority encoder

ver zam elin g PLA programmab ie lo gic

IC integra te d circu it array

IF C interface c1ear PRaM programmabie ROM

eL integ rat ed inj ection log ic p parity bit

INH in hib it Q toestandsverzameling,

INV in ve r tor uitgangsaanduiding

inga ngss y m boo l, lop en d e Qn uit ga ngswaar de ne

klok-varia be le periode

J gespeci ficeer d inga ngs- Q. E. D. quot erat

dernonstran-woord, J-in gan g dum

j lo p en d e variabele q quotië nt, toestand

K K-ingan g, klaa rsign aa l R information rate, relatie

k lo p en d e variabele resetingang, weerstand

L laag

RL belastingsweerstand L. in k om en d leen

Rl(N) one's complement repro 1

(13)

R2(N) two ' s comp le men t repr. tct propagation time R

9( N) nine' s com ple me n t repr.

th hold time

RIO(N) teri'scomple me nt repr. t.1 tijdstip i

RBlN(N ) binaire repr. tp propagation time

RET( N) excess-three rep I. t pHL idem , van Hoognaar

REG registe r Laag

RE N rem ote ena ble t pLH idem, van Laag naar

RePROM herprogrammeerbare Hoog

PROM t puls pulsduur

ROM read-only memory t setup time

s

toestand tskew clock skew

S setingang, som U uitgangsverzameling

SC souree control U. uitgangscom bina tie

1

SEL selector UART Universal asynchronous

SHR/L shift righ t/left receiver/ transmitter

SO soureeoperabie UjD up/down

ornschakelin-SRQ service request gang

S&H sarnple-and-hold u. uitgangsvariabele

1

seconde V verzameling, Vol t

s. ie sombit v. variabele

1 1

So'SI instelingangen schuifreg. Wq werking in toestand q

T enable-ingang , ingang x (ingangs)variabele

T-FF, tijdsaanduiding, y aand uiding/uitgang van

TRUE geheugenelemen t

T periode klokpuls yj (toestands)variabele

per

Tskew effe ctief beschikbare Z, z nieuwe/oude stand

tskew geheugenelement Z

TEL teller Zo trekker , overh eersen d e

TOR typendruktelegrafie over reset

radioverbindingen ZI idem,overheersende set

TTL transistor-transistor Zz idem, met extra

ent-logic houdstand

t access time z variabele

ace

t tijd waarin signaal

c

(14)
(15)

11. OPTELLEN EN AFTREKKEN

11.1. Het optellen van positieve binair gecodeerde getallen

In het tientallig stelsel hebben de cijfers van een getal een van rechts naar links opklimmendgewicht. Deze gewichten zijn gelijk aan opeenvolgende machten van het grondtal 10. Elk cijfer van een getal in het tientallig stelsel kan de waar-de 0 tlm 9 aannemen en stelt een hoeveelheid voor die gelijk is aan de waarde van het cijfer maal het gewicht dat aan dit cijfer is toegekend.

De bouwstenen in de digitale techniek zijn tweewaardig. Het ligt daarom voor de hand de mogelijkheid te onderzoeken om rekenkundige bewerkingen uit te voeren in een talstelsel met grondtal 2. In dit stelsel heeft elk cijfer de waarde

o

of 1 en stelt een hoeveelheid voor die gelijk is aan 0 of 1 maal het bijbeho-rende gewicht, een macht van het grondtal 2. De omzetting van een getal in het decimale stelsel naar de binaire representatie wordt behandeld bij de code-om-zetters (hoofdstuk 13).

Uit welke bewerkingen bestaat de optelling van twee decimale getallen? We ne-men als voorbeeld de optelling van 12910 +96310,

gewicht: 102

io'

10°

A 2 9

B 9 6 3

+

o

8~2 som zonder transport

i/" /

1 0 1 transport

+

s:

0 9 2 som met transport

De optelling begint bij de rechter (en laagstwaardige) twee cijfers. Is de som hiervan groter dan of gelijk aan 10, dan ontstaat er een transport met gewicht

10 naar de naastgelegen sectie. De rest r, met 0

r

9, ishet eerste som cijfer. Het tweede somcijfer ontstaat uit een optelling van de cijfers met gewicht 10, waarbij ook het inkomend transport van de vorige sectie moet worden opgeteld. Een uitgaand transport wordt geplaatst in de kolom der honderdtallen. Deze pro-cedure herhaalt zich voor alle volgende cijfers. De optelling in het binaire stel-sel verschilt niet wezenlijk van die in het decimale stelstel-sel. Ter illustratie de op-telling van 1910 + 1110 via de binaire representatie:

gewicht: 24 23 22 21 2°

A 0 0

B 0 1 0

+

1 1 0 0 0 som zonder transport

/

/

i/'

i/'

~

0 0 0 1 transport

+

(16)

270

Uit bovens taan de voorbeelden blijkt dat optellen een com binatorisch proces is. Een opteller is een combinatorische schakeling. Elke sectie van een binaire op-teller bepaalt een somcijfer (0 of 1), waarin ook het inkomend transport is ver-werkt. Tevens geeft een optelsectie een uitgaand transport door aan de naast-gelegen sectie. Uit het gegeven voorbeeld mag men niet concluderen dat een eventueel transport slechts één sectie verder doorwerkt. Vergelijk daartoe de optelling van 2210 + 2710 via de binaire representatie !

Opmerking

De diverse signalen in een bin aire opte ller hebben een gewicht dat gelijk is aan een macht van het grondtal 2. We nummeren de signalen/ secties met een getal dat correspo ndeert met de exponentvan hun gewicht. Zo heeft in het vervolg de sectie i ink o me nde signalenai' bi en Ci met gewicht 2i en uitgaande signalen Si met gewicht 2ien Ci+! met gewicht 2i+!.

D

e

h

alve e

n d

e

voll

edige op

telsectie

Dehalve en de volledige optelsectiezijngespecificeerd in de tabellen 11.1 en 11.2. De halve optelsectie (half adder) telt twee bits met een gelijk gewicht op en produceertdaarbij een sombit (sum bit) en een transport (carry). De volledigeoptelsectie ([ull adder) kan tevens een inkomend transport verwerken.

De halveopte lsectie is vangeringe betekenis .Ineen optellerkan men deze bouw -steen alleen gebruiken als laagstwaardigesectie.

ai bi Si

c.,;

ai bi Ci Si Ci+! 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 I 1 0 1 0 1 0 0 1 0 I 0 1 1 0 1 0 1 1 0 1 1 0 0 1 0

tabel11. 1.Halveoptelsectie 1 0 I 0 1

I I 0 0 1

1 1 1 -I 1

tabel11.2. Hele optelsectie.

De formules voor som en transport van een halve optelsecti e zijn :

s,

= aibi

+

äibi= ai (]) bi

Ci+! = aib i ::: envoor devoll edig e opte lsectie:

Si .äibiCi + ~b/~i + aibiCi + aibiCi Ci+! ll;bi + (ai + bi) Ci

Een volledige optelsect ie kan word en samen gesteld uit twee halve optelsecties en een OR-po or t :

Si ;;=..(ai (]) bjt (]) Ci ~ Ci+! = aibi

+

(ai (]) bi) Ci

(17)

Deze laatste formule volgt uit een Karnaughdiagram voor Ci +l. Fig. 11.1 geeft een schakeling, die bestaat uit twee halve optelsecties en een NAND, waarin de transporten van de twee secties worden gecombineerd.

r--- - -- - --• - - - -- -- ---~-- - -, , ' I r---j I ai I I S] bi Ci.'

e

i

L

j

logisch schema fig. 11.1. Volledige op telsectie (full adder).

~

ai b i

FA Ci. '

s

:

sy mbo ol

In de schakeling van fig. 11.1 is gebruik gemaakt van EX-OR poorten en NAND's. Een realisatie met uitsluitend NAND's zou meer poorten resp. cir-cuitblokjes kosten. Bovendien moeten dan ook de inverse signaal waarden äj,

bi

en

ei

beschikbaar zijn. Zie de bovenstaande formules.

Een schakeling voor het optellen van twee getallen van vier bits is beschreven in fig. 11. 2. Deze schakeling bestaat uit vier volledige optelsecties (full adders). Uit deze figuur volgt dat het transportsignaal Cl dat in de eerste sectie ont-staat, zijn invloed kan uitoefenen op het resultaat van alle volgende secties. Dit systeem van doorgeven van het transport wordt ripple-carry genoemd. Het is van groot belang voor de snelheid van een optelling dat het transport in elke sectie zo snel mogelijk wordt doorgegeven. De schakeling in fig. 11.1 mag dan weinig componenten vergen, het transportsignaal wordt niet snel doorgegeven. De maximale vertraging is gelijk aan drie poortniveaus per sec-tie. Ter vergelijking: het somsignaal doorloopt slechts twee poortniveaus.

fig. 11. 2. Parallel opteller voor getallen van vier bits.

Een opte

lsectie

met sne

l t

ransport

De noodzaak van een korte propagatiet ijd van het transportsignaa l per sectie is duidelijk aanwezig bij grote optellers. Er zijn verschillende mogelijkheden om dit te realiseren. In de TTL-techniek is een ervan het gebruik van

AND-OR-INVERT poorten. De propagation delay time van een TTL-NAND komt overeen met die van een AND-OR-INVE RT combinatie. Hiervan is ge-bruik gemaakt in de 2-bit binary tuil adder SN74 82 van Texas Instru ments. Fig. 11.3 geeft het logisch schema van deze bouwsteen.

In de 2-bit opteller van fig. 11.3 doorloopt het transportsignaal a.h.w. twee poortniveaus. Twee van deze schakelingen vormen samen een 4-bit binaire op -teller, die het transportsignaal via slechts vier poortniveaus doorgeeft. Het transportsignaal Cl van de eerste sectie komt in gecomplementeerde vorm

(18)

be-272

r---co----~-r,

aO---+---'~~'

bO---+-Tt+~r""\

* Een poortniveau vertr aging perAND-OR-INVERT

c

,

)c>-i'----5,

fig. 11.3. 2-bit binary full adder.

schikbaar. Dit is noodzakelijk als men ook in de tweede sectie de AND-OR-INVERT combinatie gebruikt. Dat daartoe ook al en bi geïn ver te erd moeten worden heeft geen invloed op de totale propagatietijd van het tra nsport in de twee secties samen. Zie fig. 11.3.

In formules uitgedrukt werkt de schakeling van fig. 11.3 als volgt:

Deze transportsignalen kunnen elk in één AND-OR-INVERT comb inatie wor-den opgewekt. De opbouw van de schakelingen voor So en SI is wat minder doorzichtig. So is gerealiseerd als:

s,

= CoC\ + aoC I + boCI + aoboCo' Het somsignaal SI is gerealiseerd als:

SI

=

Cl C2 +

ä

l C2 + bi C2 + al bi Cl = Cl C2 + al C2 + bi C2 + al biCl

Enig inzicht in de opbouw van deze formules kan worden verkregen m.b.v . een Karnaughdiagram voor So (in a o' bo en Co)en een voor Cl (in ao' b0 eri Co), Het tekenen van deze diagrammen wordt aan de lezer overgelate n.

Een nog snellere verwerking van het transport in een opteller kan worde n be-reikt met de look-ahead carry generator. Deze wordt in par. 11.5besproken.

(19)

r

l e-en.

..

11.2. Optellen en aftrekken via modulus-en-tekenbit

Tabel 11.3 specificeert de werking van een sectie van een aftrekschake ling, waar mee het verschil A- B van twee positieve binair gerepresen teerde getal-len kan worden bepaald. Bij de optelling van de bits van sectie i heeft het transport naar de volgend e secti e het gewicht 2i+1. Bij de aftrekking heeft het uitgaande signaal het gewicht _2i+1

e~

daarom het leen- of s chuld-signaal L genoemd.

ai bi Li Si Li+1

0 0 0 0 0

0 0 1 1 1 Si: som- of verschilsignaal.

0 1 0 1 1 Li:inkomende leen. 0 1 1 0 1 Li+1: uitgaande leen. 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1

tabel 11.3. Specificatie van een volledige aftrekker. De eenvo u digst e formules voo r het som- en leensignaal zijn:

Li+1

=

äi (bi

+

Li)

+

biLi

Een vergelijking met de formules voor een volledige opteller:

leert dat de somcircuits identiek zijn. Het gedeelte van de schakeling dat Ci+1

resp. Li+1 bepaalt verschilt uitsluitend in de variabele ~j . De interpretatie van het leensignaal blijft natuurlijk anders dan van het transportsignaal. Een extra EX-OR poort, gebruikt als bestuurbare invertor, is voldoende om een opteller om te schakelen naar aftrekken en omgekeerd. Men dient er daarbij op te letten dat het somcircuit niet omgeschakeld wordt! Toch is deze omschakelbare opteller-aftrekkergeen circuit dat men vaak zal aantreffen. Het aftrekken via de in tabel 11.3 beschreven schakeling heeft nl. enkele nadelen:

In de rekenkunde worden gehele getallen gewoonlijk gerepresenteerd met hun absolute waarde (modu lus) en een teken (+ of - ). In de binaire aritmetiek vervangt men het teken gewoonlijk door een tekenbit dat 0 (positief) of I (ne-gatief) kan zijn. Optellen en aftrekken via "tekenbit en een (binair gerepresen-teerde) modulus" heeft in het binaire stelsel enkele bezwaren. Vergelijk daartoe de onderstaande aftrekkingen, die kunnen worden uitgevoerd met een aftrek-schakeling die bestaat uit de in tabel I 1.3 gespecificeerde secties. Het tekenbit blijft voorlopig buiten beschouwing.

(20)

274 A= 17 10 0 0 1 C= 6 00 I I 0 B 6 00 1 1 0 D = 17 1 000 1

oio

1 0 1 I A- B 11 C-D= - 11 1 : 1 0 1 0 1

j

:

x

I'

x

leen leen

Het resultaat van de aftrekk ing A- B is posit ief , hetgeen blijkt uit het uitgaan -de leen met waarde O. Het ant woo rd X gee ft de modulu s van het verschil van Aen Bin de juiste vorm. Het antw oo rd van de aftrekk ing C- D is negatief, het uitgaande leen heeft het gewich t _25. Dejuiste modulus van het verschil van C en D wordt verkregen door het resultaat X

Cl

0 1 0 1) van 25

Cl

0 0 0 0 0) af te trekken: X IC - DI 100000 10101 001011

Deze correct ie kan met de geïntroduceerde aftrekschakelin g worden uitgevoer d. Deze correctiestap, die nodig is om bij een negatief resultaat dejuiste modul us te vinden, veroorzaakt dat voor een op deze wijze uitgevoerd e aft rekking meer tijd benodigd is dan voor een optelling.

De noodzakelijke correctie na een aftrekking kan worden terug gebracht tot een optelling. Bezien we daartoe eerst de correctiestap zelf. Leidt deaft rekking van twee n-bit getallen C en D tot een negatief resultaat, dan is het antwoord:

C - D = _2" + X (_2" aangegeven door een leen ).

(N + CN) 2 = 1101 + 00 10 = 1111 = 2 4

- 1 De modulus IC - DI = D- C vinden we dan door:

IC - DI= D- C = 2" - X.

Een van de mogelijkheden om deze berekening uit te voeren is de aftrekk in g van 2"- X via een aftrekschakeling. Er is echter een andere uitvoerin g mogelijk: Definitie

Onder hetcom pleme n t CN van een binair getal N verstaan we het get al CN dat uit N ontstaat door alle bits van N te inverteren.

Eigenschap

Voor ieder getal N van n bits geldt dat N

+

CN = 2" - I. Het bewijs volgt uit het volgende voorbeeld .

Voorbeeld N = 1310

Het complement CN van een binair getal kan worden toegepast in de c orrecti e-procedure voor de modulus als het antwoord negatief is. Voor de hiervoor be -sproken aftrekking van D- C:

(21)

D - C = 2n - X

=

2n - (2n - I - C

x )

=

C

x

+

I.

Conclusie

Een uitgaandeleen met waarde I geeft aan dat het resultaat van een aftrekking negatiefis. We verkrijgen dan niet de juiste modulus van het antwoord. Het ant-woord moet gecorrigeerd worden. Hiervoor bestaan twee procedures:

1. Trek het antwoord X van 2n af.

2. Neem het complement Cx van X en tel hierbij I op.

In beide gevallen kost de corr ect ie extra tijd en materiaal. Via de representatie "t eke n- en -modulus" duurt een aftrekking langer dan een optelling.

Een ander nadeelvan deze wijze van aftrekken is dat het teken bit anders ver-werkt moet worden dan de overige bits van het getal.

11.3

.

De two's complement representatie

Via een andere representatie van negatieve getallen is het mogelijk de nadelen van de aftrekking via modulus-en-tekenbit te omzeilen. Representaties die in de praktijk veel gebruikt worden zijn het one's complem enten het two's com-plem ent (één en twee com plem en t). Bij deze representaties van binaire getallen met teken is geen correctie nodig wanneer er een negatief antwoord ontstaat. Definitie

Zij N een niet-negatief binair getal"van n - 1 bits. Het n" bit is het tekenbit. De two 'scomplem ent representatie R2 van binaire getallen met teken is:

R2(+N) = N (Het tekenbitis 0) R2(-N) = 2n - N (Het tekenbit is 1).

Hierbij is R2(0) = 0 00 . .. 00. Een eenvoudige methode om

in

-

N te bepa-len maakt gebruik van het comple ment CN van N via de betrekking:

N+ CN = 2n - 1 ~ 2n - N= CN + I.

Men definieert de two's complement representatie van -N daarom ook wel als:

Tabel 11.4 beschrijft de two's complement representatie van de getallen +7 t/m -7. Hiervoor zijn vier bits voldoende. Het linker bit, het teken bit, is voor de dui-delijkheid met een spatie van de overige bits gescheiden. In de verwerking wordt geen verschil gemaakt tussen het tekenbit en de overige bits. Strikt genomen komt ook de combinatie I 000 bij getallen van vier bits voor. Men kan deze combina-tieinterpreteren als -8. Het getal -8 heeft geen positieve tegenhanger. Het ver-sch il in bereik tussen positieve en negatieve getallen heeft gevolgen voor het uit-Voeren van sommige rekenkundige bewerkingen op two's complement gerepre -sent eerde getallen.

(22)

276 +7 0 1 1 1 -7 1 00 1 +6 0 I I 0 -6 I 010 +5 0 101 -5 I

o

I I desgewenst: +4 0 I 00 -4 I 1 00 -8 I 000 +3 0

o

I I -3 I I 0 I +2 0 OIO -2 1 1 1 0 +1 0 001 -I 1 1 1 1 +0 0 000 -0 0 000

tab el 11.4 . De two'scomplem en t represen tat ie van +7 t/m -7.

H

et

b

ereik

van de modulu

s

in het tw

o

'

s co

m plemen t

Voor niet-negatieve getallen van n bits is het bereik van de modulus:

o

~

INI

~ 2n- 1 - I

en voor negatievegetallen:

o

<

INI

~ 2n- 1.

Bij herhaald optellen is het mogelijk dat de som het aangegeven ber eik ove r-schrijdt. We hebben dan te maken met capaci te itsoverschrijdi ng. In het onde r-staande wordt aangetoond dat in het two's complement kan word en opgeteld en afgetrokken. Tevens wordt vastgesteld hoe capaciteitsover schrij din g kan wor -den gedetecteerd.

Stelling

Aftrekken kan via de two's complement representatie word en teruggebrach t tot optellen. Er treedt daarbij capaciteitsoverschrijding op als Cn

*

Cn_1is. Bewijs:

Stel dat A en B twee niet-negatievegetallen zijn van n- I bits, waaraan als ne bit een tekenbit wordt toegevoegd.We onderscheiden dan vier gevallen:

+A +B, +A -B, -A +B, -A -B. We gaan elk van deze gevallen na.

I. (+A) + (+B)

Voor niet-negatieve getallen geldt dat R2(N)= N. Degeta lle n +A en+B kunnen dus zonder meer worden opgeteld in een opte ller vo or n-bit getalle n:

De beide tekenbits zijn 0, zodat Cn = 0 is.Capa citeitsoversc h rijdingtreedt op als het ne sombit, het tekenbit, de waarde I krijgt. De som S heeft dan het voor positieve getallen toegestane bereik van 0 ~S ~ 2

n

- 1 - Ioverschreden. Het te -kenbit wordt dan en slechts dan I als Cn_1

=

I is. Zodat:

Cn= Cn_1 = 0 ~ geen capaciteitsoverschrijding. Cn

*

Cn_1 = I ~ wel capaciteitsoverschrij ding.

(23)

~n

)or

.e-2. (+A) + (-B)

Optellen van R2(+A) en R2(-B) leidt tot:

(+A) + (-B) -+ R2(A) + R2(-B)= A + (2n - B) = 2n + (A - B) .

We on d erscheiden driegevallen:

A

>

B. Er on tst aat een uitgaand transport Cn met gewicht 2n. Verwaarlo-zing hiervan leidt tot een correct antwoord.

A = B. Verwaarlozing van het transport leidt wederom tot een correct ant -woord, nl. 0 00 .. .00.

A

<

B. De representatie van het nu negatieve getal A - B:

is gelijk aan de som van de representaties van +A en -B: R2(+A) + R2(-B) = A+(2n - B) = 2n - (B- A).

Hierbij ontstaat geen uitgaand transport. Conclusie

Verwaarlozing van een eventueel optredend transport Cn geeft in alle gevallen een correct antwoord. Bij het optellen van getallen met verschillend teken kan geen capaciteitsoverschrijding optreden. Immers, de moduli liggen tussen 2n- 1_ en O. Aftrekken van de moduli geeft een resultaat dat ligt tussen _(2n- l - 1) en +(2n- 1- 1). Voor de transporten Cn en Cn_

1 geldt dat in alle gevallen Cn = Cn _1 is. Dit komt doordat de laatste optelsectie, waarin de tekenbits worden opgeteld, als ingangswaarden 0, I en Cn_

1 krijgt aangeboden. Dit geldt ook als de combinatie 1 00 . . . 00 als negatief getal wordt toegestaan. Ga dit na!

3. (-A) + (+B)

De optelling is symmetrisch, dat wil zeggen dat (-A) + (+B)

=

(+B) + (-A).

Hiermee is dit geval tot het vorige herleid. Wederom geeft verwaarlozing van het transport Cn een correct antwoord.

4. (-A)+ (-B)

Optellen van R2(-A ) en R2(-B) leidt tot :

(-A) + (-B) -+ R2(-A) + R2(- B)= (2n - A) + (2n - B) = 2n - (A+B)+

z"

=

R2(- A- B) +

z".

Verwaarlozing van het uitgaande transport Cn met gewicht 2n levert weer een Correct antwoord. Bij het optellen van twee negatieve getallen treedt altijd een transport Cn= I op. Behalve uit de formules hierboven volgt dit ook uit het feit dat beide teken bits I zijn.

(24)

278

dit geval het tekenbit 0 worde n, het geen tot een positi ef antwoord leidt. Dit

is in strijd met het gegeven dat Aen B beide als teken een - hebben. Het omgekeerde, aantonen dat als Cn = Cn_1 = 1 is er geen capacite itsover-schrijdingoptreedt, islastiger. Aang et o on d isdat bij verwaarlozing van het

transport Cn het antwoord correct is:

, , R2(-A) + R2(-B) = R2(-A - B)

+l.

De representatie van negatieve getallen ligt tussen:

Als Cn_1 = 1 is, dan ligt de representatievan R2(- A - B) inderd aad in het

voor negatieve getallen toegestane gebied, mitsCn met gewicht 2n wor dt ve r-waarloosd.

Hiermee is het bewijs compleet. Samenvatting

In het two's complement worden negatieve getallen voorgesteld door:

De aftrekking kan'bij deze representatie word en omgezet in een opte lling. Er

ontstaat een correct antwoord als het uitgaande transp ort Cn met gewich t 2n wordt genegeerd. Het tekenbit wordt op dezelfde wijze als de overige bits b

e-handeld .

De capaciteit van een opteller wordt overschreden als Cn i=Cn_1is. Dit maakt

een eenvoudige detectie ervan mogelijk. Voorbeeld

Tel + 13 op bij-5 . Doe hetzelfde voor -13 en +5 alsmede-13 en -5. Gebru ik

vier bits voor het bereik en één teken bit.

Webepalen eerst de representatie R2 van -13 en -5:

Dit volgt ook uit:

1 0 I -+ I{) R2(-1 3) = 25 - 13 = I 0 0 1 1 ~(-I3) =C13 + I = I 0 0 I 0 + 0 0 0 0 I I 0 0 I I.~ Evenzo: R 2(+5) = 0' 0 1 0 1 -+ R2(-5) = I I 0 I 0 + 0 0 0 0 I I I 0 I I. De optellingen: OOI I

o

0 I 0 I +, - - --'.fs:1 1000

'L'

Iresultaat-8 geen transport.

~(-8) ~(-13) : ~(+5)

o

I I 0 I I 0 I I +

,

\ " I ,}, JOl 000 " I_ _~

-L

resultaat +8 transport negeren. R2(+ 13)

R

2(-5) R2(+8)

(25)

Bij de optelling van (- 13) +(-5) treed tcapaci te it soversch rij d ingop. Het ant -woord isniet correct. Neme n weéén bit meer, dan is het an twoo rd correct.

><10 I 1 10 R2(-18):

1

resultaat +14 transport negeren. R2(- 13): R2(-5) : ~(+ 14) : OOI 101

+ - -

-R2(- 13) : R2(-5) : 100 I I I 0 I

In hetgeval dat capac ite its oversch rij ding optreedt is Cn =1= Cn_

1•Ga dit na. Voor

de overige gevallen geldt dat Cn= Cn-1

C

onversie

van getallen van

e

n naa

r

de

t

wo 's comp

lement

rep

resenta tie

Getallen worden in rekenschakelingen meestal ingevoerd via de "modulus en

teken" representatie. De interne verwerki ng echter geschiedtin het two's com

-plement. Daartoe moeten de in te lezen getallen geconverteerd worden. De

con-versie van een negatief getal in de modulus-en-tekenbit representatie naar de

two's complement representatie kan geschieden door het complement van het

getal te nemen en er 1 bij op te tellen. Voor positieve getallen verandert er

niets. Fig. 11.4 beschrijft de schakeling voor deze conversie.

Teken Mo dulu s

1.

Two's Complement.

fig. 11.4.Conversievangetallen naar de two's complement representatie.

Het belang van een snelle opteller voor deze conversie is duidelijk. De conve

r-sie van de two's complement represen ta tie naar modulus-en-tekenbit is even ge

-makkelijk. Immers:

Voor positieve getallen:

Voor negatieve getallen:

R2(-N) = 2n - N

(26)

280

Ook de conversie naar de modulus-en-tek en representat ie kangeschieden met de schakeling van fig. 11.4.

11.4. De one's complement representatie

De conversie van en naar het two's complement is in fig. 11.4 beschreven. De opteller wordt uitsluitend gebruikt om de extra 1, die volgt uit de relati e:

R2(-N)

=

2" - N

=

CN + I

bij hetcom pleme n t van het corresponderende posit ieve geta l op te tellen. Het ligt voor de hand te proberen om negatieve getallen voor te stellen door het complement van het corresponderend e positieve getal. Ook hierme e blijk t optel

-len/aftrekken mogelijk te zijn.

Definitie

Zij N een niet-negatiefbinair getal van n- 1 bits waaraan als ne bit een tek enbit wordt toegevoegd. De one's complem entrepresentatie R, van binaire get allen met teken is:

R, (+N) = N

R, (-N) = 2" - 1- N

(Het tekenbit is 0). (Het teken bit is 1).

Uit deze definitie volgen twee verschillende representaties van het geta l nul, ni.

o

00 .. . 00en I11 .. . 11.Het two's complement kende slechts één r epre-sentatie van nul. Omdat geldt

N

+

CN = 2"

-kan Rl (-N) gema k kelijk worden bepaald door een comp le me n te ring van alle bits van de modulus van het getal.

+7 0 1 1 1 -7 000 +6 0 1 I 0 -6 OOI +5 0 101 -5 OIO +4 0 I 00 -4

o

1 I +3 0

o

1 1 -3 100 +2 0 01 0 -2 101 +1 0 OOI -I 1 10 +0 0 000 -0 I I 1

tabel 11.5. De one's complement representatievan +7 t/ m-7.

Tabel 11.5beschrijft de representatie van de getallen +7 t/m -7 in het one's complement. Een bezwaar van het one's complement is de dubbele represen ta-tie van het getal nul. Daardoor is een test ofeen getal nul isminder g emakke-lijk uit voerbaar. Tegenover dit nadeel staat het voordeel van een een voudige en snellere conversie.

H

et

bereik van de modulus in het

one's

compl

em ent

Voorniet-n egatieve getalle n van n bits (inclusief tekenbit) is het bereik van de modulus:

(27)

o

<;

IN

I

<;20- 1

-en voor niet positieve get allen:

o

<;

I

NI

<;20- 1 - 1.

In het one's complement heeft de modulus van positieveen negatieve getallen een gelijk bereik. De complicatie van het two's complement ,een ongelijk be-reik, treedt niet op.

Bijherhaald optellen van getallen kan capaciteitsoverschrijding optreden. Weer geschiedt de detectie hiervan met een test op Co en CO_I ' zoals de volgende stelling aangeeft.

Stelling

Aftrekken kan via het one's complement worden teruggebracht tot optellen. Er treedt capaciteitsoverschrijding op als Co

'*

CO_I is.

Bewijs:

Weonderscheiden wederom de vier gevallen uit de vorige paragraaf. 1. (+A) +(+B)

Deze optelling kan worde n uitgevoerd in een gewone binaire opteller. Beide

tekenbits zijn 0, zodat Co= 0 is. Wordt het tekenbit I, hetgeen gebeurt als

CO_I = I is, dan treedt capaciteitsoverschrijding op. Het transport Co is altijd O.

2. (+A) + (-B)

Het opt ellen van de one's complem ent representaties heeft tot resultaat: (+A)+ (- B) -+ RI (A) + RI (-B) = A + 20

- I - B = (A- B) +20

- 1. We onderscheiden weer de drie gevallen:

A> B. Er ontstaat een uitgaand transport Co met gewicht 20

• Verwaarlo-zing hiervan leidt tot

(A- B)+ 20 - I - 20

=

(A - B) - 1.

Het gewenste antwoord is A- B. Het verschil kan worden gecom-penseerd door het transport Co'uit de hoogstwaardige optelsectie toe te voeren als Co aan de laagstwaardige sectie, waar dit transport het gewicht Co= 20 krijgt. Het antwoord- is dan correct.

A

=

B. De uitkomst is 0 + 20

- I

=

20 - I. Dit iseen van de repres enta-tiesvan O. Er treedt geen uitgaand transport Co= I op.

A

<

B. Het resultaat isgelijk aan

RI (A) + RI (-B) = (A- B) + 20

- I = 20 - I - (B- A)

= 20 - I - IA - BI = RI(A- B)

Het resultaat is correct. Er treedt geen uitgaand transport op. Het one's complement geeft in alle gevallen een correct resultaat. Capaciteits-overschrijding kan niet optreden als A en B een verschillend teken hebben.

(28)

28 2

Tevens geldt dat Cn = Cn- l isin deze gevallen. 3. (-A ) + (+ B)

Hiervoor gelden ide ntieke regelsals onde r 2. 4. (-A) + (-B)

In de one' s complement representatie word t dit:

(-A) + (-B) -+ R,(- A) + R,(-B) =(2n - I- A) + (2n - I- B) = {2n - I- (A+B)}+ 2n - I = R,(-A - B)+ 2n - I De uitkomst is 2n- I te groot. Verwaarloz en van het hierbij altijd optredende transport Cn met gewicht 2n en optelling van dit transport als Co met gewicht 20 leidt tot een correct antwoord.

Capaciteitsoverschrijding treedt op als Cn=1= Cn_l is. Vergelijk ook het bewijs bij het two's complement.

Samenvatting

In het one's complement wordt een negatief getal voorgesteld door het co mple-ment van de modulus. Deze omzetting kan geschieden door elk bit van de mo-dulus aan een EX-OR poort toe te voeren. De andere ingang wordt met het te-ken bit verbonden. De uitgangen van de EX-OR's geven de one's complement representatie'van het binaire getal. Het tekenbit ondergaat geen be wer king. Ver-gelijk ook fig. 11.4. Ook het omgekeerde, de conversie van het one's comp le-ment naar modulus-en-tekenbit ,kan met deze scha keling worde n uit gevoer d. De aftrekking kan in het one's complement worden uit gevoerd als een optelling. Het transport Cn uit de hoogstwaardige sectie moet worden teruggevoerd naar de laagstwaardige sectie en krijgt dan het gewicht I. Dit in tegenstellingtot bij het two's complement, waar het transport Cn geheel wordt verwaarloosd. De capaciteit van een opteller wordt overschreden als Cn =1= Cn- l is. De detectie van capaciteitsoverschrijding is identiek aan die van het two's complement. Voorbeeld

Tel op ± 13 ±5 via de one'sco m plement representatie. We houden direct rekening met het vereiste aantal bits om geen capaciteitsoverschrijding te krijgen :

Rl(+13)= 0

o

1 1 0 1 R,(- 13 ) = 10 0 I 0 R, ( +5) = 0 00101 Rl( -5) = I 1 01 0 +13 0

o

I I 0 I +13 0

o

I I 0 I +5 0 00101 -5 I I 0 I 0 + + I , +18

oio

100 I 0 I:0 OOI I I

~I

+

,

+8

o

io

o

1 000

(29)

-13 1 10010 -13 10010 +5 0

o

0 1 0 1 -5 1 1 0 1 0 + + -8

o

i

1 1 0 1 1 1 1

!

1

o

1 100

'--

.,.1 + , -18 0: 1

o

1 1,0 1 I Opmerking

Een oppervlakkig lezer zou uit het gegeven voorbeeld kunnen concluderen dat een optelling in het one's complement twee maal zo lang duurt als een optelling in het two's complement. Immers,het transport 1 uit de linker sectie ( end-aroundcarry) moet nogmaals bij het resultaat worden opgeteld. Bij gebruik van optelsecties met doorlooptransport (ripple-through carry), bestaande uit een aan-tal full adders, is de toaan-tale opteltijd voor beide systemen ongeveer gelijk. Ga dit na.

Bij gebruik van look-ah ead carry generatoren (zie 11.5) is het two's complement iets sneller.

Voorbeeld

Fig. 11.5 toont een omschakelbare opteller/aftrekker. Deze schakeling is een voorbeeld van een parallel opteller. Het bovenste register is het somregister waarin het resultaat wordt opgeslagen. Met deze schakeling is herhaald optellen mogelijk. (Voorzieningen voor o.a. capaciteitsbewaking,reset en klokpuls zijn niet getekend.)

,

,.

ng

Bufferreg.

ltwo·s complement

~ftrekken

• ""...---illl

opte ll en 1k (bij TTU

Op te tellen getall en. +5 V

fig.11.5.Parallel opteller/aftrekkervoorgetallen van vierbits.

Het is mogelijk een opteller te ontwerpen met één optelsectie. Deze s erie-optel-ler staat in fig. 11.6.In dit geval is een extra flip-flop nodig om het transport één klokpuls te vertragen. Bij de schakeling in fig. 11.6 wordt verondersteld dat het op te tellen getal reeds in het two's complement is omgezet.

Een vergelijking van fig. 11.5 en fig. 11.6 doet vermoeden dat een serie-opteller minder materiaal kost. Dit is slechts schijn. De niet getekende besturingsschake-ling, die bij een serie-optellernodig is, kost ook het nodige materiaal.

(30)

284

Somregisler

fig. 11.6. Serie-optellervoor vier bits.

Detectie van capaciteitsoverschrijding

Zowel bij het one's als het two's complement treedt capa cit eit sov erschrijding op als Cn

*-

Cn_l. Bij blokjes 4-bit full adders is wel C4 naar buiten uitgevoerd, maar niet C3. Het signaal C3 is nodig om een detectie op capacit eitsoverschrij-ding te kunnen realiseren. Fig. 11.7 geeft aan hoe met één 4-bit full adder de detectieschakeling kan worden gerealiseerd. Het detectiesignaal is hier

Det = Cn+1 6l Cn .

Del = Cn+l 6l

c,

fig.11. 7. Detectie van capaciteitsoverschrijding.

11

.5.

De lo ok-ahead carry generator

De 2-bit binaire opteller in fig.' 1 1.3 is ontworpen met het do el. een transport zo snel mogelijk door te geven resp. te genereren. Een transport dat in de laa gst-waardige sectie van een opteller ontstaat moet steeds alle andere secties do orl o-pen. Een dergelijke verwerking van het transport wordt ripple-carry genoemd.

Zie ook fig. 11.2. Het is echter mogelijk de snelheid van opte llers te verhogen door een principieel andere benadering van het transportprobleem. Onderzoe ken we daartoe de opbouw van de formules voor het uitgaande transportvan de ve r-schillende secties van een 4-bit opteller. Deze telt twee getallen A = a3a2a( ao en B= b3b2bi bo bij elkaar op:

Cl aob o + (ao + bo)Co

C2 al bi + (al + bi )CI

al bi +(al +bi) {aob o +(ao+ bo)Co}

(31)

.-285

C3 = a2b2 +(a , + b2)C2

=

a2b2 + (a, + b2)[a.bI +(al + blHaobo +(a o + bo)Co}] C4 = a3b3

+

(a,

+

b3)C3

= a3b3 +(a3 +b3)[a2b2 +(a2 + b2)[al bi +(al +bi){ao bo +(ao + bo)Co}]] Uit deze formuleringvolgt:

Per sectie kunnen twee oorzaken aanleiding geven tot een uitgaand transport: I. de term aibi = I: Er wordt een tran sport in de sectiezelfgegenereerd.

Dit geschiedt onafhankelijk van een inkomend transport. 2. de term ai+bi

=

I: Een inkomend transport wordt doorgegeven.

In de literatuur staandeze tweeoorzaken bekend als de carry gen erat e en de

carry propagate functie.' Zij worden ook wel aangeduid als de G- en de P-functie.

In principe is een realis atie van de formule voor C4 in twee niveaus mogelijk.

Het aantal termen, alsmede de omvangvan de poorten,wordt echter onprak-tisch groot.

De opbouw van de formules voor Cl t/m C4 nodigt er als het ware toe uit ge-bruik te maken van hulpfun cties.

de carry gen erat e functie.

Pi

=

ai+bi' decarry propagat e fu nc tie.

.-

De formule voor het uitgaande transport C

4 wordt dan:

Deze formule kan weer gesplitst worden in een deel

e:

c.

.

dat aangeeftof er in de betre ffen d e sectie van vier bits een transport ontstaat,

en een deel rt t-l. n

r-dat aangeeft of in de sectie van vier bits een inkomend transport Co wordt door-gegeven.We zullen van deze functies GO-3 en PO-3 veel gebruik maken.

Opmerking

De hulpfuncties Pi en Gj zijn gedefinieerd als: Gi = ajbi en

Het is echter ook mogelijk uit te gaan van de hulpfuncties en

(32)

286

waarin EI)de EX-OR functie voorstelt. Ook nu kan men het uitgaan de transport Ci+1 schrijven'als

Voor de formulering van het uitgaande transpor t C4 van een 4-bit full adder is

het niet van belang van welke van de twee sets hulpfu n ctiesword t uitgegaan.

In een realisatie kan het echter wel verschil uitmaken . Dit is afh ankelijk van de

bouwstenen die beschikbaar zijn. Als voorbeeld de realisatiein TTL.

De realisatie van het transportcircuit van

een

4-bit

o

p teller in TTL

De schakeling voor het uitgaande transport van een 4-bit opt eller, uitgedrukt

in de hulpfuncties, kan met twee niveaus NAND's worden gerealiseerd. De hu

lp-functies zelf vergen één poortniveau. Hiermee is de totale propagatietijd per 4-bit sectie teruggebracht tot de vertraging van drie poortniveaus. Dit is iets k lei-ner dan bij de schakeling in fig. 11.3. Ook blijkt de realisatie van het transp

ort-circuit met een AND-OR-INVERT combinatie tot de praktisch uitvoerbare m

o-gelijkheden te behoren. Omdat een AND-OR-INVERT poort met een inversie eindigt, moet de formule voor C4:

C4

=

G3 +P3G2 +P3P2G1 + P3P2P1Go + P3P2PIPOCO worden geinverteerd. De eenvoudigste vorm van de formule voor

C

4 is:

als wordt uitgegaan van de hulp functies Gi =aibi en Pi=ai+bi en

als de hulp functies G,=aibi en Pt=aiEI)bi zijn.

In de formules voor

C

4 wordt de aanduiding * weggelaten als dit de duidelijkheid

niet schaadt.

Men kan deze formules voor

C

4 opstellen via een Karnaughdiagram in negen v aria-belen. Bij het bepalen van de meest eenvoudige vorm van deze formules is gebruik

gemaakt van het feit dat P en G niet onafhankelijk zijn. Deze variabelen kunnen onderling niet alle mogelijke combinaties van waarden doorlopen. Zo kan als Gi

=

aibi

=

1 is de functie Pi

=

~ +bi niet de waarde 0 bezitten.Ook G en P* zijn niet onafhankelijk. De don't cares liggen echter anders. Met behulp van Karnaughdiagrammen is aan te tonen dat de schakeling die de formule

realiseert gebruikt kan worden voor beide definities van de propagate functieP. Dit geldt echter niet voor de schakeling die de formule

realiseert. Deze schakeling is alleen toepasbaar bij de definitie via Gen Pen niet

(33)

)

-1

-Fig.11.8geeft het schema van het transportcircuit van een snelle 4-bit opteller.

Een doorgaand transport wordt twee poortniveaus vertraagd,waarvanéénvoor rekening van de noodzakelijke inversievanhetinkomend transport. Dit transport -circuit is O.a.gerealiseerd in de TexasInst rurnents4-bit opteller SN7 483 A.Het komt gunstig uit dat ook de hulpfuncties P enG geïnverteerd nodig zijn. Deze ku n-nen met één NANDresp.NORworden gerealiseerd. Zie fig.11.8.

G

3

* Een poortniveau ~--- - ----, , . I , * , I o I o o o I I I al : AND-üR-INVERT: L ~ • __ ••.J

fig.11. 8.Transportcircu it voor eensnelle 4-bit opteller.

D

e rea

lisatie

van het so

mc ircuit in TTL

Het ligtvoor dehan dbijderealisatie van het som cir cuit vaneen 4-bit opt eller zo veel mogelijkgebru ikte maken van de reeds beschikbare

P

en

G

functies. Voor So geldt:

Evenzo:

Nu moet Cl nog worden uitgedrukt in Go' Po en Co' Dit kan als volgt:

Evenzo:

Deze laatste omze ttingverloo pt weer via een Karnaughdiagram waarin rekening wordt gehouden met het gegeven dat P en G afhankelijke variab elen zijn. Opgeheelovereenkomstigewijze kunnen ook S2 en S3 in de poen G-hulpfunc-ties wor den uitgedrukt. Fig. 11.9 geeft het schema van een opteller ,de Tl SN74 83A, waarin ook de som circuits zoveel mogelijk met AND-OR -INVERT

(34)

288 03 b3 5 3 02 b2

a,

b,

P,

00 Go ba 15 0 52 Co Co 5,

sa

fig. 11.9. 4-bit binaire opteller met snel transport.

Opmerking

In de diverse catalogi wijkt de notatie af van die welke hierboven is aangehou-den. Ook worden de geïntroduceerde poen G-functies wel aangeduid met Pen

G

.

De gebruiker van deze IC's dient dit zelf na te gaan. De beschrijving in di-verse catalogi is op dit punt beslist niet ondubbelzinnig!

De look-ahead carry generator

Het aantal secties van een opteller, waarover een snel transport circuit als dat van fig. 11.8 kan worden aangebracht, is om redenen van toenemende poortom-vang beperkt. Het basisidee van het snelle transportsysteem kan echter ook wor-den toegepast voor optellers die uit verschillende blokjes 4-bit optellers bestaan. Over vier van deze blokjes kan men dan weer een snel transportsysteem plaat-sen dat de transporten C4, Cg, C12 en C16 op een snelle wijze opwekt resp. doorgeeft. Een dergelijke schakeling, waarvan fig. 11.10 de principe-opzet geeft, wordt look-ahead carry generator genoemd.

De in fig. 11.10 aangegeven 4-bit optellers zijn in de praktijk veelal ALU's (Arithmetic Logic Units). Deze bouwstenen kunnen behalve optellen nog

(35)

enke-' r-1.

t,

289

Ie andere logische bewerkingen uitvoeren. De gewenste bewerking wordt gese-lecteerd met selectiesignalen. Voor de uitleg van het principe van de look-ahead carry generator is dit detail niet ter zake.

Om het transport C4 naar de vijfde sectie te kunnen bepalen moet de schake-ling voor de look-ahead carry generator ter beschikking hebben de signalen PO-3 en GO-3 en de inkomende carry Co' Deze signalen bevatten de informatie of er een transport ontstaat in het eerste blokje (GO-3) of dat een eventueel inkomend transport Co wordt doorgegeven (PO-3):

C4 = GO- 3 +PO- 3Co

Op dezelfde wijze kunnen Cs t/m CI6 (resp. GO-IS en PO-IS in een uitbreidbaar systeem) in de diverse P- en G-signalen worden uitgedrukt. De formules hiervan zijn:

C4

=

GO- 3 +PO- 3Co

Cs = G4 - 7 +P4 - 7(GO- 3 +PO- 3Co)

CI2= GS-II + PS- ll{G4 - 7 +P4 - 7(GO-3 +PO-3Co)}

CI6 = GI2-IS+PI2-IS[Gs -u +PS-ll{G4 - 7 +P4 - 7(GO-3 + PO-3Co)} ]

waarbij GO-IS en PO-IS gedefinieerd zijn als:

PO-IS = PI2-ISPS-ll P4 - 7PO-3 •

Hiermee isde logische specificatie van de look-ahead carry generator opgesteld. Merk op dat P en G onafhankelijk zijn van de inkomende carry!

carry generator

GO-15 Po-15

fig.11.10. Opteller met look-ahead carry generator.

De realisatie van de look-ahead carry generator in TTL

Bij een uitvoering van de look-ahead carry generator in TTL-geïntegreerde scha-kelingen is wederom gebruik van de AND-OR-INVERT combinatie aan te beve

(36)

-290

len. De verschillende formules moeten in een zodanige vorm gebracht worden dat de formule op een inversie eindigt. We bepalen eerst de meest eenvoudige formulering voor

C

4 t/m

C

12.

Het is gebleken dat de formule voor

C

4 in zijn meest eenvoudige vorm afhangt van de keuze voor de propagate functie. Dus Pi= ai+bi of

Pt

= aiEIJ bi. Dit wordt geïntroduceerd door het feit dat Pien Gi resp.

Pt

en G, afhankelijke variabelen zijn, zodat in het Karn aughdiagram voor C4 don't cares voorkomen.

De plaats van de don't cares hangt af van de keuze van de propagate functie.

De vraag rijst of, als Pi en Gi afha nkelijkevariabelen zijn, ook

en

afh ank elij k zijn . Een nader onderz oek van de formu lesvoor GO-3 en PO-3 toont aan dat:

alsGj = aibi en Pi= ai+bi is, dan kunnen GO-3 en PO-3 onderling alle

com-binat ies van waarden doorlo pen,

als Gi= aibi en

P

t

= aiEIJbiis, dan komt de combinatie GO-3 = P6-3= I

niet voor.

In fig. 11.II is aangegeven hoe het Karn augh diagra m voor C4 er in beide geval-len uit ziet. GO-3

~

pO-31~

Co Gi= aibi Pi

=

ai

+

bi GO-3

ffiffi

1 1 0 P.0*-3

I

0 - - 1

Co

G j = ajb j

Pt

=

aiEIJ bi

fig. 11.11.Karn aughdi agrammenvoor tran spor tsignaal C4.

In beide gevallen is de eenvoudigste formuleringvoor

C

4:

Ook voor

C

g

en

C

12 wordt dezelfde structuur van de formule gevonden:

Uit deze formules volgt dat C4 , Cg en C12 elk met één AND-OR-INVERT

com-binatie kunne n worden gerea liseerd . Een voorwaardeis dan dat de signalen Pi-j en Gi _j geïnve rt eerd worden aangeboden aan het loo k-ahead circuit.

(37)

Conclusie

De uitgaande transporten C4, Cs en C

I 2 kunnen elk met één AND-OR-INVERT

combinatie worden gerealiseerd als de inverse waarden van de Pi -j en de Gi _j functies beschikbaar zijn en wel als volgt:

Op overeenkomstige wijze kan CI 6 worden gerealiseerd. Om het systeem uit-breidbaar te houden moet CI 6 gesplitst worden in een GO-IS en een PO-IS ge

-deelte, die bovendien geïnverteerd moeten worden aangeboden:

Een voorbeeld van een look-ahead carry generator is de Texas Instruments SN74182 waarvan het logisch schema in fig. 11.12 is beschreven.

m~~~::>---

PO- 15

).

n- 150-3

Co

(38)

292

Samen

vatting

Optellers voor getallen die uit een groot aantal bits bestaan kunnen als nad eel bezitten een te grote propagatietijd van het transportsignaal. In ongunstigege -vallen kan het transportsignaal bijna allesecti es doorl open (ripple-through

carry ). Om hier iets aan te doen heeft men in eerste insta ntie het transport -circuit van een 4-bit opteller losgemaakt van het somcircuit . Dit word t het principe van de [ast carry genoemd. Het transportcircuit wordt in een zo ge -ring mogelijk aantal poortniveaus opgebouwd. Hierb ij worden de carry propa -gate en de carry generate functie geïntroduceerd.

Het idee van splitsing van het transport in een generate en een pro pagat e ge -deelte is verder uitgebouwd bij de look-ahead carry generator, die bestemd is voor optelschakelingen voor 16 en meer bits. De opteller word t in groepen van vier bits gesplitst, elk met hun eigen

P

en

G

uitgang. Deze worde n a angebo-den aan een aparte transportschakeling, die het transport op een snellewijze doorgeeft en wel onafhankelijk van de som circuits. Door het transport te sche i-den van de somcircuits zijn snelle optellers mogelijk gewori-den. De tijd die be -nodigd is voor het bepalen van de sommen is minder kritisch m.b.t. de totale opteltijd. Ga dit na!

11

.6.

Optellen en aftrekken in de

BeD-

en Exces

s-three

repre

sentat ie

Om getallen, welke gegeven zijn in de decimale representatie,in het binaire t al-stelsel te kunnen aftrekken en optellen is een conversie nodig. Zie hoofdstuk 13. Het is echter ook mogelijk getallen in de BCD-code direct op te telle n en af te trekken.Elk decimaal cijfer wordt hierbij gerepresenteerd door een binair getal van vier bits. Afhankelijk van verschillende factoren gebruikt men hierbij de

BCD-code en de Excess-three code. Tabel 11.6 geeft beide codes. decimaal BCD Excess-three 0 0000 0011 1 0001 0100 2 0010 0101 3 0011 0110 4 0100 0111 5 0101 1000 XET

=

(XIO

+

3~IN 6 0110 1001 7 0111 1010 8 1000 1011 9 1001 1100

tabel 11.6. BeD-cod e enExcess-3 cod e.

Een optelsectie voor BCD-getallen

Zijn A en B twee niet-negatieve cijfers waarvoor geldt en 0';;;B ';;;9.

Hun som S ligt, wanneer rekening wordt gehouden met een eventueel transport Cin, tussen

(39)

3.

0:;;;;S:;;;; 19.

Het eerste probleem dat hierbij optreedt is, dat het uitgaande transport Cuit niet zo gemakkelijk als bij binaire optellers kan worden gedetecteerd. Een uitgaand transport treedt op als I 0 ~ S :;;;; 19is. De meest eenvoudige formule voor het uitgaand transport is

waarin Sjhet ie som bit is van een binaire opteller en C4 het uitgaande transport van dezelfde opteller als de som ê> 16is. Zie fig. 11.13.

We onderscheiden drie gevallen: 1. 0 :;;;; S :;;;; 9 met S= A+ B+Cin .

Tellen we de BCD-representaties van A, B en Cin op in een binaire opteller, dan is het antwoord correct.

2. 10:;;;; S :;;;; I 5 met S= A+ B+ Cin .

In dit geval moet een transport naar de volgende sectie worden gegenereerd via Cu it = SI S3 +S2 S3 + C4• Dit transport met gewicht 10 moet van de som S worden afgetrokken. Op eenvoudige wijze kan dit worden gerealiseerd door er in het two's complement 0 110 bij op te tellen.Het tekenbit kan bui-ten beschouwing blijven omdat slechts de vier bits van de som worden gecor-rigeerd en het antwoord niet negatief kan zijn.

3. 16 :;;;;S :;;;; 19 met S= A + B+

Ctn .

Er treedt een uitgaand transport C4 op, dat het gewicht 16heeft. Als inko -mend transport van de volgende sectie krijgt dit transport het gewicht 10, zodat de som S (zonder het transport) met 6 verhoogd moet worden. Dit kan door 6 (0110) bij de som op te tellen.

Uit I - 3 volgt:

Treedt er geen uitgaand transport Cuit op, dan is het resultaat S3 S2 SI So (zie fig. 11.13) correct. Is er wel een transport naar de volgende sectie, dan moet bij het resultaat nog 0110, de correctieterm in beide gevallen,worden opgeteld. Een schakeling die behalve de optelling ook de correctie uitvoert staat in fig. 11.13.

o

R3 R2 Rl

Somin BGO not ati e fig.11.13.Opteller voor de BCD-representatie.

(40)

- ~- ...

294

Een nadeel van de in fig. 11.13 beschreven optelsectie is dat het transport traag gegene ree rd word t en traag wordt doorgegeven. De oorzaak is dat behalve C4

nog twee andere terme n to t het transp or t bijdr agen . Dit nadeel treedt niet op alswe overgaa n op deExcess-three represen tatie.

Ee

n

op

telsectie

voo

r

Excess

-three

geco

deerde

getalle

n

In de Excess-t hree codewordt een decim aal cijfer voorgesteld door zijn binaire

eq uivalent plus 3 (00 11) . Zijn A en Bniet-negatievecijfers, dan geldt:

0';;;A';;;9 RET( A)= RBlN(A + 3)

RET(B )= RBIN(B + 3)

waarin RET(N) de representatievan het cijfer N in de Excess-t hree code voor -stelt. Er geldt :

o

.;;;

A +B+Cin .;;; 19 We onde rschei de n tweegevallen :

1. 0 ';;; A + B + Cin.;;; 9 -+ 6 .;;; RET( A ) + RET (B )+ Cin .;;; 15

Er treed t geen uitgaand transp ort C4 op alsde represe ntaties RET ( A ) en RET (B) en Cin worden opgeteld in een binaire opteller. Het resultaat is

ech-ter 3 te groot. Immers:

3.;;;RET(A + B + Cin).;;; 12 als 0 ';;;A + B + Cin.;;; 9

maar voor desom geldt :

Van het result aat moet dus 3 worde n afgetro kken.

2.

io

c

A+-B+

c

.,

.;;;

19 -+ 16';;; RET(A ) + RET (B ) + Cin .;;; 25. Er wordt een uitgaand transport gegenereerd omdat de som van A en Ben

Cin> la is. Omdat de binaire som van de corresp onderend e Exces s-three representaties > 16 is, kan C4 direct als transportsignaal dienen. In de vol -gendesectie krijgt dit transport het gewicht la, zodat het antwoord met 3

moet worden verhoogd:

Decorr ectieverloopt in dit geval het hand igst via het one'scomplement.

Immers :

CUil = 0: Cuit

=

1:

3 aft rekk en is optelle n van 1100 (zonder tekenbit) 3optellenis optellen van 0011.

(41)

Opmerking

Het spre ekt vanz elf dat de genoe mde correctie ook in het two's complement

kan worden uitgevoerd . De lezer kan zelf de gevolgen voor deschakeling in

fig. I 1. I4 nagaan.

fig.11.14.Optelsectie voor de Excess-th ree renresentati e.

Conclusie

De Excess-three representatie heeft alsvoordeel boven de BCD-representatie

dat geen aparte transportschakeling nodig is. Men kan gebruik maken van op

-tellers met snel transport. Een ander voordeel is dat eenvoudig gedetecteerd

kan worden of een cijfer j> 5 is. In afrondingsprocedures kan dit nuttig zijn.

Voorbeeld

Tel 9 + 8+ I en 3 + 2 + 0 (is A + B+ Cin) op in zowel de BCD-cod e als de

Excess-th ree code .

BCD: A= 9 100 I A=3 OOI I

B =8 I 000 B = 2 00 I 0

Cin +000 I- - - Cin = 0 0000

+ Transport: 00 I 0 Transport : 0

o

I 0 I

Corr ectie :

o

I I 0 Correctie :

o

0 0 0

+ +

Resultaat: +8 10 0 0 Resultaat: +5

o

I 0 I

ET: A=9 I I 0 0 A=3

o

I I 0

B= 8 I 0 I I B=2

o

I 0 I

Cin 000 I Cin = 0

o

000

+- - - +

Transp ort: I 000 Transport: 0 I 0 I I

Correc tie : OOI Correctie : I I 0 0

+ +

Resultaat: +8 101 Resultaat: +5 1000 (via one's cornpl.)

11.

7. Het teri's en het nine's complement

Evenals bij binaire getallen kan ook bij decimale getallen worden opgeteld resp.

Cytaty

Powiązane dokumenty

terytorialnego, zadania samorządu terytorialnego, powiat metropolitalny. Ustawy o samorządzie gminnym, samorządzie powiatowym i samorządzie województwa zwane dalej ustawami

(junction point). Discrete Fourier Transform has been used for the determination of the phasors. By making use of the Clarke transformation, three modes can also be used to

określany jest w literaturze między innymi jako człowiek prawdziwy (patrz Aleksan- der Zinowiew), homo russicus (patrz Aleksander Sołżenicyn), czy też człowiek żyjący zgodnie

Dyskusja, w której wzięło udział kilkunastu uczestników konferencji, rozwinęła się wokół zagadnienia związku zmartwychwstania Chrystusa z

Den  Untersuchungsgegenstand  des  nächsten  Beitrags bilden suffixoidale Adjektivbildungen,  die  von  Agnieszka Vogelgesang-Doncer 

Quandoque bonus dormitat homerus / Quandoque bonus dormitat home-..

Popularyzacją naszego miasta i jego zabytków zajmują się także członko- wie sekcji krótkofalowców, kierowanej przez Mariusza Thomasa. nawiązano kilka tysięcy łączności

Cui Petrus: &lt;In obsequiis domine nostre impediti sumus et curationi tue modo intendere non valemus; verumptamen si in dominum Ihesum et in hanc que ipsum portavit