• Nie Znaleziono Wyników

Optimization of extreme ultraviolet mirror systems comprising high-order aspheric surfaces

N/A
N/A
Protected

Academic year: 2021

Share "Optimization of extreme ultraviolet mirror systems comprising high-order aspheric surfaces"

Copied!
6
0
0

Pełen tekst

(1)

Optimization of extreme ultraviolet mirror

systems comprising high-order aspheric surfaces

Oana Marinescu Florian Bociort

Delft University of Technology Optics Research Group Lorentzweg 1

NL-2628 CJ Delft The Netherlands

E-mail: o.marinescu@gmail.com

Abstract. When extreme ultraviolet共EUV兲 mirror systems having sev-eral high-order aspheric surfaces are optimized, the configurations sometimes enter into highly unstable regions of the parameter space. Small changes of system parameters lead then to large changes in ray paths and optimization algorithms fail. A technique applicable for any rotationally symmetric optical system that keeps the configuration away from unstable regions during optimization is described. A finite-aberration quantity is computed for several rays, and its average change per sur-face is determined for all sursur-faces. For not too large values of these average changes, optimization remains stable. A design for EUV litho-graphy is discussed. © 2008 Society of Photo-Optical Instrumentation Engineers. 关DOI: 10.1117/1.2899098兴

Subject terms: mirror systems; aspheres; extreme ultraviolet lithography; optimization; relaxation.

Paper 070561R received Jul. 15, 2007; revised manuscript received Nov. 10, 2007; accepted for publication Nov. 30, 2007; published online Mar. 20, 2008. This paper is a revision of a paper presented at the SPIE Conference on Current Developments in Lens Design and Optical Engineering V, August, 2004, Denver, Colorado. The paper presented there appears unrefereed in SPIE Proceedings Vol. 5523.

1 Introduction

In optical system design, it is important to decrease the sensitivity of the imaging quality of the design when sys-tem parameters are slightly changed. In relaxed designs, in which the permissible tolerances for a given deterioration in image quality are not very restrictive, high-order aberra-tions are kept at low levels.1 In this case, if third-order aberration analysis is used, not only must the total values of the共relevant兲 Seidel coefficients be sufficiently low, but the individual contributions of the various surfaces to these co-efficients must be kept within limits as well.2 Surfaces where individual surface contributions to the Seidel coeffi-cients are too large tend to generate high-order aberrations, and the total system will suffer from strain共the opposite of relaxation兲 even when the resulting imaging quality is sat-isfactory.

The systems considered in this paper are rotationally symmetric ones having several high-order aspheric sur-faces, such as the objectives for extreme ultraviolet共EUV兲 lithography.3–8When such systems are optimized with the aspheric coefficients as variables, the configurations often evolve toward shapes in which the strain is so extreme that optimization itself becomes difficult. When small changes to system parameters lead to large changes in the ray paths, optimization algorithms tend to become unstable and to ter-minate abnormally. A good choice of numerical parameters, such as the variable increments, can extend the variable domain where the algorithm behaves normally, and the de-gree of strain that can be handled in a specific design situ-ation also depends on the implementsitu-ation details of the local optimization algorithm. However, with high-order

as-pheres, the topography of the design landscape can some-times be so difficult that even using a usually robust algo-rithm with optimal numerical parameters might not be sufficient. Therefore, the approach described in this paper will be to avoid the regions where the strain is so extreme that it cannot be handled properly by the optimization al-gorithm.

To make the design of EUV mirror systems more effi-cient, we have used a set of new techniques called network search,9 saddle point construction,10 and quasi-invariant stabilization. In this work, we present the quasi-invariant technique to avoid unstable regions in the parameter space of the system. Rather than focus on final imaging quality, the emphasis here will be on intermediate design stages where the goal is to stabilize the optimization when neces-sary. Using this set of techniques, we have obtained six-and eight-mirror designs with performances that make them suitable for practical applications.9–11

After introducing in Sec. 2 some paraxial prerequisites, we will introduce in Sec. 3 a finite-aberration quantity, the so-called quasi-invariant. Our quasi-invariant is almost identical with the one originally introduced by Buchdahl12 for a very different purpose, namely to compute high-order aberration coefficients. In the paraxial approximation, the quasi-invariant becomes the well-known Lagrange invari-ant, which is strictly conserved along the system. For real rays, the quasi-invariant is not a strict invariant. This fact is essential, because the deviation of invariance from surface to surface is directly related to the ray aberrations at a given surface. Whereas, the Seidel aberration expressions contain only the fourth-order aspheric surface coefficient, the quasi-invariant contains the effect of aspheric coefficients for all orders.共Higher-order aberration coefficients do include the effects of higher-order aspheres, but their computation is

(2)

nontrivial, especially for aberration coefficients having or-ders higher than five. The direct use of the quasi-invariant, which is closely related to the power series expansion of the transverse ray aberrations in terms of aberration coeffi-cients and can be even used to compute these coefficoeffi-cients,12 is more practical.兲 In Sec. 4, we show how the quasi-invariant can be used to stabilize the optimization. Basi-cally, we generalize for finite rays the idea mentioned pre-viously of limiting the acceptable magnitude of the contributions of individual surfaces to the aberrations of the system. The stabilization technique consists of two steps: first, a stable initial configuration is obtained for subsequent optimization by choosing the system parameters such that the quasi-invariant change per surface is minimal. Then, if the average changes per surfaces of the quasi-invariant re-main acceptably small during optimization, the configura-tion is kept in the safe region of the parameter space. The technique has been implemented in the commercial optical design program CODE V.13 In principle, the same basic idea could also be implemented by using the so-called Al-dis theorem,14 but in our opinion, the use of the quasi-invariant is simpler and more straightforward in its appli-cation. In a more provisional form, we have addressed the present issue in Ref. 15.

2 Paraxial Approximation

Consider a rotationally symmetric optical system. We de-note the object plane by O, the paraxial image plane by I, and the stop plane by S. Quantities at these planes will have the corresponding index. We assume first that the object is at a finite distance from the first surface, and we define an arbitrary ray through the system by its normalized coordi-nates in the object plane共␶x,␶y兲 共the field coordinates兲 and in the stop plane 共␴x,␴y兲 共the aperture coordinates兲. Thus, if the stop radius is rSand the maximal object height is rO, then the Cartesian coordinates are related to the normalized coordinates at the stop plane by

xS= rSx, yS= rSy, 共1兲

and at the object plane by

xO= rOx, yO= rOy. 共2兲

At each surface, the position and direction of a ray passing through the system are fully determined by the x and y coordinates of its point of intersection with the surface and by the optical direction cosines␰and␩, corresponding to x and y. If the ray direction is given by the unit vector a =共ax, ay, az兲, then

= nax,

= nay,

= naz. 共3兲

It can be shown,12,16,17that, at all surfaces of the system, in the paraxial approximation x, y,␰, and␩are given by linear combinations of the aperture and field coordinates. The co-efficients are then the height and the slope of the marginal and chief rays, paraxially traced at that surface. If we

de-note paraxial ray quantities by a tilde, we have x

˜ = h¯x+ hx, ˜ = nu¯␰ ␶x+ nux,

y

˜ = h¯y+ hy, ˜ = nu¯x+ nuy. 共4兲 Here, the paraxial marginal and chief ray heights are de-noted by h and h¯, the corresponding marginal and chief ray slopes are denoted by u and u¯, and the refractive index is denoted by n 共see Fig. 1兲. In the case of mirror systems, which we study here, the refractive index n is equal to⫾1, with the sign changing after each reflection.

We will also use the fact that h, h¯, u, u¯ are not indepen-dent. In fact, the quantity H defined by

H = nh¯u − nhu¯ 共5兲

共the Lagrange invariant兲 retains the same value throughout the system.14

In Eq.共4兲, n, u, u¯,␰, and␩are quantities prior to reflec-tion or refracreflec-tion. Similar relareflec-tions exist for the correspond-ing quantities after reflection or refraction.

3 Quasi-Invariant

Several quantities called quasi-invariants will now be de-fined following Bociort.17 For an arbitrary finite ray 共i.e., real ray, as opposed to paraxial ray兲, consider the two com-ponents of the transverse aberration vector of the ray. At the paraxial image plane, these components are defined by

x= xI− x˜I, ⌶y= yI− y˜I. 共6兲

Consider also Eqs.共4兲, which hold for the paraxial approxi-mations of the ray parameters. We start by seeking certain quantities, which can be related to the given finite ray such that relations similar to Eqs. 共4兲 hold exactly for them. More precisely, we look for eight quantities, xˆ, yˆ,ˆ,ˆ ,ˆx,

ˆy,␶ˆx,␶ˆy, such that at every surface of the system we have xˆ = h¯ˆx+ hˆx, ␰ˆ = nu¯ˆx+ nuˆx,

Fig. 1 Paraxially computed heights and slopes of the marginal ray

OB and chief ray AP at an arbitrary surface. The height of the chief ray at the object plane is equal to the maximum object height, rO, and the height of the marginal ray at the stop plane gives the stop radius, rS.

(3)

yˆ = h¯ˆy+ hˆy, ␩ˆ = nu¯ˆx+ nuˆy. 共7兲 The first requirement for determining the new quantities is that in the paraxial approximation Eqs. 共7兲 reduce to Eqs. 共4兲. Thus, the paraxial approximations for ␴ˆx, ␴ˆy, ␶ˆx, ␶ˆy must be the quantities, ␴x,␴y, ␶x, ␶y, which by definition 关共1兲 and 共2兲兴 are surface-independent. Following Buchdahl,12 any quantity that reduces to such an invariant in the paraxial limit will be called a quasi-invariant. By definition,␴ˆx,␴ˆy,␶ˆx,␶ˆyare such quantities.

The basic idea is now to relate the finite ray aberrations produced by each surface to the changes of the quasi-invariants at that surface. First, because the normalized co-ordinates are defined at the object and stop planes, we re-quire that the quasi-invariants associated to the field and aperture coordinates are free of aberrations at the object and stop planes, respectively. In other words, this means that they reduce to the corresponding ray coordinates,

ˆxO=␶x, ␶ˆyO=␶y, 共8兲 and

ˆxS=␴x, ␴ˆyS=␴y. 共9兲 Because at the object plane we have h¯ =rOand h = 0, and at the stop plane, we have h = rS and h¯ =0, it follows by com-paring Eqs. 共7兲 with Eqs. 共1兲 and 共2兲 that at these two planes we have

xˆ = x, yˆ = y . 共10兲

We now require that Eq.共10兲 must be valid at each planar surface.

The components⌶xand⌶yof the transverse aberration can be expressed through the quasi-invariants. By denoting the maximal paraxial image height by rI, it follows from Eq. 共6兲 that

x= xI− x˜I= rI共␶ˆxI−␶x兲. 共11兲 共We write the relations for the x component. Similar rela-tions are valid for the y component.兲 Obviously, the total change of ␶ˆx from the object to the image plane can be written as the sum of all individual changes in the system

ˆxI−␶x=␶ˆxI−␶ˆxO=⌺⌬␶ˆx, 共12兲 where⌬ denotes the change of a quantity.

For determining the expressions of the quasi-invariants, consider Eqs. 共7兲 as systems of linear equations with un-knowns␴ˆx,␴ˆy,␶ˆx,␶ˆy. It follows from Eqs.共7兲 and 共5兲 that at each surface of the system we have

ˆx= 1 H共nuxˆ − hˆ兲, 共13兲 and ␴ˆx= − 1 H共nu¯xˆ − h¯ˆ兲. 共14兲

Let us now determine the precise form of xˆ, yˆ,ˆ, andˆ . The usual assumption in aberration theory is that transfer through a homogeneous medium does not contribute to the aberrations. Therefore, we simply require that the change of

ˆxvanishes at transfer through a homogeneous medium. Consider first the case of the transfer between two planes separated by the distance z. It can be easily verified that the transfer contributions vanish for

ˆ =n

␨ , ␩ˆ =

n

␨ , 共15兲

where␨is the optical direction cosine with respect to the z axis

␨=

n2−␰2−␩2. 共16兲

In the case of mirror systems, n and azhave the same sign 共that changes after each reflection兲, so ␨ will always be positive.

Because at transfer n, u ,␰, and␨remain unchanged, we have ⌬xˆ = ⌬x =␰ ␨z, ⌬h = uz, 共17兲 and therefore ⌬␶ˆx= 1 H

nu⌬x − n␰ ␨⌬h

= 0. 共18兲

In the case of transfer between two curved mirror or lens surfaces, we consider the plane tangent to each surface at its vertex 共the polar tangent plane兲 and define xˆ as the x coordinate of the intersection point of the transferred ray 共or its prolongation兲 with the corresponding polar tangent plane. Obviously, Eq. 共18兲 also holds if instead of x, we now consider the quantity xˆ. Thus, the quantities xˆ and yˆ in Eq. 共7兲 must be the polar-tangent-plane coordinates of the given ray共see Fig. 2兲. Now, the quasi-invariants 关Eqs. 共13兲 and共14兲兴 are uniquely defined. Thus, the quasi-invariant␶ˆx has nonzero changes ⌬␶ˆxat the individual surfaces, and in Eq.共12兲, the sum must be taken over all surfaces. Note that, for each ray-surface intersection point, we have two values for xˆ共and also for yˆ兲: one before and one after reflection or refraction. Having established the form of the quantities appearing in Eq.共7兲, note that relations similar to 共13兲 and 共14兲 can be written for␶ˆyand␴ˆy

ˆy= 1 H共nuyˆ − hˆ兲, ␴ˆy= − 1 H共nu¯yˆ − h¯ˆ兲. 共19兲

If at the paraxial image plane, we write H = rInIuI, it follows from Eqs. 共10兲 and 共11兲 that

(4)

x= 1 nIuI

⌺⌬共Hˆx兲 共20兲

a similar relation is valid for⌶y. The quantity⌬共Hˆx兲/nIuI may thus be interpreted as the contribution of a given sur-face to the finite-ray aberration. In the vector ⌬␶ˆ =共⌬␶ˆx,⌬␶ˆy兲 will be used the following, to stabilize the op-timization. Equation 共20兲 gives the decomposition of the transverse aberration of an arbitrary ray in contributions from reflection or refraction at each surface of the system. Because the two components of the ␶ˆ quasi-invariant are related to the transverse aberration, this quasi-invariant plays a more important role than␴ˆ .

These quasi-invariants, ␶ˆ and ␴ˆ , can also be used for deriving analytic expressions for Seidel and higher-order aberrations coefficients.17In a power series expansion with respect to the aperture and field coordinates, the third-order terms ⌬共Hˆx兲 and ⌬共Hˆy兲 are the Seidel aberration coeffi-cients.

The above approach is also valid when the object of the optical system is placed at infinity but the field coordinates must then be defined in a different way. In this case, in the object space, we have nOuO= 0 and it can be easily verified from Eqs. 共7兲 and 共8兲 that

ˆ

O= nO¯uOx, ␩ˆO= nOu¯Oy, 共21兲

and, from Eq.共15兲 that we obtain

OO = u¯Ox, ␩OO = u¯Oy. 共22兲

Thus, in the case of optical systems with the object at in-finity, the field coordinates are defined by Eq.共22兲 instead of Eq.共2兲.

4 Stabilization of the Optimization

Extreme ultraviolet mirror designs typically have four, six, or eight high-order aspherical surfaces.18–28A standard as-pherical surface with rotational symmetry about the z axis can be represented as z = c共x 2+ y2 1 +

1 −共1 + k兲c2共x2+ y2+

m=2 m0 a2m共x2+ y2兲2m, 共23兲 where c is the curvature at the vertex of the surface, k is the conic constant, and a2m are polynomial coefficients 共m = 2 , . . . , m0兲. Especially for starting configurations gener-ated without any a priori knowledge, we have found that such designs often enter into highly unstable regions of the parameter space during optimization.28 In this section, we show how to avoid this problem, assuming that there is a correlation between the change of the quasi-invariant␶ˆ共QI兲 and the sensitivity of the ray paths when the system param-eters are slightly changed. According to Eq.共20兲, large ab-errations at a surface lead to a large increase of QI at that surface. Inspired by the Seidel aberration analogy men-tioned earlier, the algorithm that follows 共see also Fig. 3兲 keeps the aberrations per surface at an acceptably low level during optimization. At the time of this writing, constraints on ⌬␶ˆ seem to be sufficient for achieving the envisaged goal. If necessary, the paths of real rays can be brought even closer to the paraxial ones if constraints are imposed on ⌬␴ˆ as well. The QI before and after each surface is computed from ray-tracing data by using Eq.共13兲. For each field point, QI is calculated for r rays. 共At present we use four rays per field: chief ray, upper and lower marginal rays, and a skew ray.29兲 The average QI change at the sur-face,⌬␶, is then ⌬␶=

1 r

i=1 r 共⌬␶ˆx,i2 +⌬␶ˆ2y,i

1/2 . 共24兲

The average QI change defined by Eq.共24兲 will be used to stabilize the optimization.

A configuration, which would otherwise arrive into an unstable region of the merit function space during local optimization, is stabilized in two stages. In the first stage, the variables are modified so that the configuration be-comes stable. At this stage, optimization is used surface by surface to minimize the QI change at each surface. The variables are the coefficients a2mfor the given surface. The shapes of other surfaces are left unchanged. The process is performed for all surfaces successively.

The surface shapes obtained in this way lead to stable ray paths. In the second stage, the imaging quality is opti-mized, again surface by surface, with the additional con-straint ␭␶⬍␭, where ␭ is chosen empirically. It turns out that if the maximal change per surface of the QI remains small enough during optimization, the configuration is kept

Fig. 2 The quantities xˆ before and xˆ⬘after reflection of a ray at a mirror surface, are drawn here in the special case of a ray in the x-z plane. In the optical design program, we introduce automatically two plane dummy surfaces at each system surface, one before the sur-face and one after it, which behave as tangential planes to that system surface. xˆ, xˆ, yˆ, and yˆare the coordinates of the ray inter-section points with the dummy surfaces computed by the ray-tracing algorithm.

(5)

in the safe region of the parameter space. The parameter␭ should be small enough so that excessive strain that cannot be handled properly by the local optimization algorithm is avoided, but because a certain degree of strain is often nec-essary to obtain a successful design, ␭ should not be too small. But when the stabilization attempt is not successful, the process should be repeated with a smaller value of ␭. Finally, the QI constraint is removed and conventional op-timization is performed. In the several cases we have stud-ied, the QI optimization was able to change the configura-tion in such a way that the final convenconfigura-tional optimizaconfigura-tion becomes stable.

As an example, we present below the results obtained with this method for a six-mirror EUV objective.26Figure 4 shows an optimized six-mirror system, in which all six cur-vatures and the aspheric coefficients of fourth and sixth order on each surface have been used as variables. The image plane is placed at the paraxial position and the first distance has been used to keep the magnification of 0.25 constant. The system is quasi-telecentric in the object space and telecentric in the image space; that is, the upper mar-ginal ray in the object space and the chief ray in the image space are parallel to the optical axis. Distortion has also been controlled.

The conventional optimization of the original starting configuration has encountered abnormal termination. In the resulting system, we have observed that even a small change in the variables can cause large changes in the ray paths and in the performance of the system. The histograms

in Fig. 5 present the initial and final values of the variables for conventional and QI optimization. Figure 5共a兲 and 5共b兲 show the behavior of the curvatures and of the sixth-order aspheric coefficients at each surface, respectively. In this example, the qualitative behavior of the fourth-order as-pheric coefficients is similar to that of the curvatures. The

Fig. 3 Flow chart for QI optimization.

Fig. 4 Six-mirror system stabilized with the quasi-invariant method,

with object heights between 114 and 118 mm, a numerical aperture of 0.24, and a magnification of 0.25.

Fig. 5 Initial and final values of the variables for conventional and

QI optimization 共relative units兲. 共a兲 curvatures; 共b兲 the sixth-order aspheric coefficients at each surface.

(6)

final QI results correspond to the stage immediately before the final conventional optimization. The difference between conventional and QI optimization is caused by the behavior of the aspheric coefficients. We observe that, although the values of the curvatures are roughly the same in the two cases, in the conventional case, the sixth-order aspheric co-efficient at the fifth surface is much larger and has a differ-ent sign than in the QI optimization. In our experience, with other systems as well, the aspheres were more likely to move the systems in regions of instability than the curva-tures.

The sensitivity to changes in the variables has been stud-ied for systems with aspheric coefficients of different order. In a case where fourth-order aspheric coefficients cause in-stability in the system, we have analyzed the behavior of the Seidel aberration coefficients as well. The Seidel sums for the unstable system are lower than for the QI-optimized system, but the QI optimization leads to smaller values of the Seidel aberrations per surface and keeps the system in the stable region of the merit function space.

5 Conclusions

We have presented a new method to stabilize, when neces-sary, the optimization process of EUV mirror systems hav-ing high-order aspheric surfaces. The method is based on the assumption that surfaces that may generate instability are surfaces, that induce large ray aberrations. Because the departure from the invariance of the quasi-invariant 关Eq. 共20兲兴 is related to the aberrations at that surface, the aver-age change of the quasi-invariant关Eq. 共24兲兴 is a useful tool for indicating the surfaces that cause instability and for de-creasing their sensitivity. Optimization with the quasi-invariant constraint has been applied to several EUV de-signs for which conventional optimization becomes unstable and, after the configuration was stabilized, subse-quent conventional optimization remained stable in all cases. In this paper, the emphasis is on stabilizing the op-timization of EUV mirror systems. However, the same technique can be applied for different systems as well. Acknowledgments

The authors thank Joseph Braat for fruitful discussions. The first author gratefully acknowledges the financial support of ASML.

References

1. D. Shafer, “Optical design and the relaxation response,” Proc. SPIE

0766, 2–9共1987兲.

2. M. Berek, Grundlagen der praktischen Optik, Walter de Gruyter, Ber-lin共1930兲.

3. J. J. M. Braat, “Extreme UV lithography, a candidate for next-generation lithography,” Proc. SPIE 4016, 2–7共2000兲.

4. K. Diefendorff, “Extreme lithography,” Microprocessor Report, vol.

54, pp. 1–10共2000兲.

5. J. E. Bjorkholm, “EUV lithography—the successor to optical litho-graphy?” Intel Technol. J. Q3, 1–8共1998兲.

6. D. W. Sweeney, “Extreme ultraviolet lithography,” in Encyclopedia of Optical Engineering, pp. 485–491, Marcel Dekker, New York 共2003兲.

7. H. J. Levinson and W. H. Arnold, “Optical lithography,” in Hand-book of Microlithography, Micromachining, and Microfabrication, P. Rai-Choudhury vol. 1, pp. 11–126, SPIE Press, Bellingham, Wash. 共1997兲.

8. S. A. Lerner, J. M. Sasian, and M. R. Descour, “Design approach and comparison of projection cameras for EUV lithography,” Opt. Eng.

39, 792–802共2000兲.

9. O. Marinescu and F. Bociort, “Network search method in the design of EUV lithographic objectives,” Appl. Opt. 46, 8385–8393共2007兲. 10. O. Marinescu and F. Bociort, “Designing lithographic objectives by

constructing saddle points,” Proc. SPIE 6342, 6342L-1共2006兲. 11. O. E. Marinescu, “Novel design methods for high-quality

litho-graphic objectives,” PhD Thesis, Delft University of Technology, 共2006兲; available at http://wwwoptica.tn.tudelft.nl/publications/ Thesis/Marinescu.pdf.

12. H. A. Buchdahl, Optical Aberration Coefficients, Dover, Mineola, N.Y.共1968兲.

13. CODE V, Optical Research Associates, Pasadena, Calif.

14. W. T. Welford, Aberrations of Optical Systems, Adam Hilger, Bristol, U.K.共1986兲.

15. O. Marinescu, F. Bociort, and J. Braat, “Avoiding unstable regions in the design space of EUV mirror systems comprising high-order aspheric surfaces,” Proc. SPIE 5523, 185–192共2004兲.

16. H. H. Hopkins, “The nature of paraxial approximation,” J. Mod. Opt.

38, 427–472共1991兲.

17. F. Bociort, “Computer algebra derivation of high-order optical aber-ration coefficients,” Riaca Technical Report 7, Amsterdam共1995兲; also available at http://www.optica.tn.tudelft.nl/users/bociort/ riaca.pdf.

18. D. M. Williamson, “High numerical aperture ring field optical reduc-tion system,” U.S. Patent No. 5,815,310共1998兲.

19. R. Hudyma, “High numerical aperture ring field projection system for extreme ultraviolet lithography,” U.S. Patent No. 6,183,095共2001兲. 20. D. R. Shafer, “Projection lithography system and method using

all-reflective optical elements,” U.S. Patent No. 5,686,728共1997兲. 21. H.-J. Mann, W. Ulrich, and G. Seitz, “8-mirror microlithography

pro-jection objective,” U.S. Patent Application No. US2004/0012866 A1 共2004兲.

22. J. Braat, “Mirror projection system for a scanning lithographic pro-jection apparatus, and lithographic apparatus comprising such a sys-tem,” U.S. Patent No. 6,299,318共1998兲.

23. J. Braat, “Mirror projection system for a scanning lithographic pro-jection apparatus, and lithographic apparatus comprising such a sys-tem,” U.S. Patent No. 6,255,661共1999兲.

24. J. Braat, “Mirror projection system for a scanning lithographic pro-jection apparatus, and lithographic apparatus comprising such a sys-tem,” U.S. Patent No. 6,396,067共1999兲.

25. R. Hudyma, “High numerical aperture ring field projection system for extreme ultraviolet lithography,” U.S. Patent No. 6,033,079共2000兲. 26. M. Bal, F. Bociort, J. Braat, “Lithographic apparatus, device

manu-facturing method, and device manufacture thereby,” U.S. Patent No. 6,556,648 B1共2003兲.

27. H.-J. Mann, W. Ulrich, and G. Seitz, “8-mirror microlithography pro-jection optics,” U.S. Patent No. 6,710,917共2004兲.

28. M. F. Bal, F. Bociort, and J. J. M. Braat, “Analysis, search and clas-sification for reflective ring-field projection systems,” Appl. Opt. 42, 2301–2311共2003兲.

29. In the CODE V manual, these rays are denoted R1, R2, R3, R4. CODE V 9.61, manual, Optical Research Associates, Pasadena, Calif. 共2006兲.

Oana Marinescu graduated from the

Fac-ulty of Physics at West University from Timi-soara, Romania, in 2001. In 2006, she re-ceived her PhD in physics from Delft University of Technology, The Netherlands. Her professional experience includes devel-oping and testing design methods for ex-treme and deep UV lithography, designing lithographic objectives and illuminations systems, tolerance analysis, and radiomet-ric measurements. Currently, she is em-ployed as an optical designer by TNO in Delft, The Netherlands.

Florian Bociort is a lecturer with the Optics

Research Group at Delft University of Tech-nology, Delft, The Netherlands. His re-search interests include optimization, with special emphasis on the topology of high-dimensional merit function landscapes, and the design of deep UV and extreme UV lithographic objectives. He holds a PhD in physics from the Technical University of Berlin, Germany.

Cytaty

Powiązane dokumenty

Bardzo prawdopodobne jest również i to, że w przypadku kobiet anonimowość świata wirtualnego może być szansą na spełnienie swoich pragnień, co do których obawiają

Networking w gdańskiej turystyce kulturowej nie ogranicza się do członków Unii Europejskiej – współpracę zapoczątkowano także z takimi krajami jak Izrael lub Irak

P ierw szy n osi tytuł: Rozumieć rze­ czywistość (ss. Trzon tomu stanow ią zagadnienia klasycznej m etafizyki {Rozumienie rzeczywistości, Struktura bytu, Ku

Figure 5a shows how the curvatures behave and Figure 5b shows the behavior of the 6 th order aspheres (See Eq. Note that the quasi-invariant results presented here correspond to

The condition that the different foci of the reflected fields of a single object point should coincide in the image plane implies that the propagation direction of rays on each

between 114 and 118 mm, a numerical aperture of 0.25, a magnification of 0.25, distortion below 1 nm and all incidence angles on the surfaces below 25û.. The Strehl ratio is 0.995

between 114 and 118 mm, a numerical aperture of 0.25, a magnification of 0.25, distortion below 1 nm and all incidence angles on the surfaces below 15˚.. Conditions: variables:

We have used a rigorous vectorial diffraction method to model the imaging of mask structures in extreme ultraviolet lithography, and ultrashort pulse propagation through