• Nie Znaleziono Wyników

Novel design methods for high-quality lithographic objectives

N/A
N/A
Protected

Academic year: 2021

Share "Novel design methods for high-quality lithographic objectives"

Copied!
121
0
0

Pełen tekst

(1)
(2)
(3)

LITHOGRAPHIC OBJECTIVES

Proefschrift

ter verkrijging van de graad van doctor

aan de Technische Universiteit Delft,

op gezag van de Rector Magnificus Prof. dr. ir. J.T. Fokkema,

voorzitter van het College voor Promoties,

in het openbaar te verdedigen op

donderdag 22 juni 2006 om 10 uur

door

Oana Elena MARINESCU

Licentiat in Fizica

(4)

Samenstelling promotiecommissie:

Rector Magnificus Voorzitter

Prof. Dr. Ir. J. J. M. Braat Technische Universiteit Delft, promotor Prof. Dr. H. P. Urbach Technische Universiteit Delft

Prof. Dr. Y Nazarov Technische Universiteit Delft

Prof. Dr. D. L. Shealy University of Alabama, VS

Prof. Dr. I. L. Livshits State University of Information Technologies, Mecanics and Optics, St. Petersburg, Rusland

Dr. F. Bociort Technische Universiteit Delft

Dr. H. Feldmann Carl Zeiss SMT AG, Duitsland

Prof. Dr. Ir. A. Gisolf Technische Universiteit Delft, reservelid

Dr. F. Bociort has provided substantial guidance during this Ph.D. research.

This research was supported by ASML and TNO Science and Industry.

ISBN-10: 90-78314-02-8 ISBN-13: 978-90-78314-02-8

Copyright @ 2006 by Oana Elena Marinescu

All rights reserved. No part of the material protected by this copyright notice may be reproduced or utilized in any form or by any means, electronic or mechanical, including photocopying, recording or by any information storage or retrieval system, without prior written permission from the author.

(5)
(6)
(7)

Preface

This thesis discusses some of the challenges of optical design for Extreme Ultraviolet (EUV) mirror systems and illustrates novel design techniques and their application to, preponderantly, EUV systems and, in addition, some Deep Ultraviolet (DUV) lithographic objectives. The main added value of this thesis is the fact that it shows how scientific results can be used for the design of complex lithographic systems.

Photolithography has been the driving force of the semiconductor industry in the last decades. Projection exposure tools, used nowadays, have been subjected to continuous changes in order to satisfy the demands for higher-resolution microchips, improved reliability and lower overall cost. In fact, in terms of performance, the technology enabled the shrinking of critical dimensions to 45 nm and it will go even further to 32 nm and below as of 2009. The first chapter of this thesis covers the basics of lithography technologies. Special attention is paid to the technique, which will be used to print feature sizes below 32 nm. Extreme Ultraviolet Lithography or EUVL has been proposed as the future-generation lithography to replace more conventional optical technology that uses refractive surfaces.

In recent years the research has been focused on improving the resolution and the depth of focus of the photolithographic process. The continuous demand for high numerical aperture projection optics can not easily be met by existing design techniques and improvements and innovation are needed in this field. The general problem of optical system design for EUVL and the issues such as merit function construction or constraints are discussed in Chapter 2 of this thesis.

(8)

they often enter into highly unstable regions of the parameter space. A strategy to stabilize the optimization process is discussed.

Chapter 4 illustrates a global search method, based on saddle point detection, with applications of this method to EUV mirror systems. The algorithm has been used to obtain additional knowledge about the merit function space. The applicability of the method for practical purposes is also shown and results for six-mirror EUV projection optics are discussed.

Optical designers often insert and split lens to force a break through in the design process. Chapter 5 presents an alternative that is based on the use of saddle points and the obtaining of local minima from them. The method is illustrated with examples of DUV and EUV projection systems. High-quality optical systems, generated with this technique, are presented.

Concluding remarks about the results discussed in this thesis are given in Chapter 6.

(9)

Contents

Preface...7

Contents ...9

1. Introduction ...12

1.1. Development of lithographic technologies...12

1.1.1. k1-factor ...15

1.1.2. The wavelength...15

1.1.3. Numerical aperture...17

1.2. Extreme-Ultraviolet Lithography ...19

1.2.1. Present state in the development of EUVL projection optics...20

1.3. Conclusions ...21

1.4. References...22

2. Optimization ...24

2.1. Optical system design...24

2.2. Local optimization ...27

2.2.1. Damped Least Squares ...27

(10)

2.4. Requirements for the design of Extreme UV projection optics...29

2.5. Issues in the design of EUV projection optics ...31

2.5.1. Ray failure ...32

2.5.2. Stagnation ...32

2.5.3. Instability in the optimization ...32

2.6. Approach...32

2.7. Conclusions ...33

2.8. References...34

3. Stabilisation of the optimization ...35

3.1. General considerations...35

3.2. Paraxial approximation ...37

3.3. Quasi - invariants ...39

3.4. Stabilisation of the optimization ...42

3.5. Conclusions ...47

3.6. References...47

4. Network of local minima ...48

4.1. General considerations...48

4.2. Topography of the merit function space ...51

4.2.1. Four - mirror projection optics ...52

4.2.2. Six - mirror projection optics...54

4.2.3. Eight - mirror projection optics ...62

4.3. Conclusions ...64

4.4. References...65

5. Saddle point construction ...67

5.1. Constructing saddle points in the merit function landscape ...67

5.2. Lithographic systems ...72

5.2.1. Hubs for Deep-UV lithographic objectives ...73

5.2.2. Design of deep UV lithographic objectives ...77

5.3. Extreme UV projection optics ...81

5.3.1. Constructing saddle points for extreme UV projection optics ...81

5.3.2. Generalization for aspheric surfaces ...85

5.3.3. High-quality design...86

(11)
(12)

1. Introduction

Photolithography is the technology used in semiconductor device fabrication to transfer a pattern from a photomask to the wafer. In the last decades it has met all the major challenges on the semiconductor industry roadmap and the expectations of Moore's law. Nowadays, the technology is capable of printing transistors having a size about 45 nm and the tendency is to shrink the critical dimension to 32 nm in 2009. This chapter provides general information about photolithography.

1.1. Development of lithographic

technologies

Projection optical lithography is the mainstream technology in the semiconductor industry in the last decades. Developed originally for reproducing engravings and photographs and later used to make printing plates, photolithography was first applied to the mass-production of integrated circuits (ICs) in the sixties of the past century.

(13)

45 nm and the tendency is to shrink the dimension to 32 nm in 20092. For a better visualization, hundreds of these transistors could be stored inside a red blood cell. The evolution of the semiconductor industry, as illustrated in Table 1.1., has been predicted by Gordon Moore in 1965. His observation, known as Moore's law, states that: "The complexity for minimum component cost has increased at a rate of roughly a factor of two per year"3. Moore's law was later amended to: the number of components per IC doubles every 18 months.

From the nineteen sixties on, photolithography has been the preferred optical technology to transfer the patterns from the mask to the silicon wafer. Initially, contact printing was used, but this technique was replaced by demagnifying projection lithography as of 1970. Since then, basically, the same method has been applied with a continuous reduction in size of the smallest features on the chip.

Year Microprocessor Number of transistors

1971 4004 2,300 1974 8080 6,000 1978 8086 29,000 1982 80286 134,000 1985 Intel 386 275,000 1989 Intel 486 1,200,000 1993 Pentium 3,200,000 1995 Pentium Pro 5,500,000 1997 Pentium II 7,500,000 1999 Pentium III 24,000,000 2004 Pentium IV 178,000,000

Table 1.1. The increase of the number of transistors on a microchip

(14)

Fig. 1.1.1. Schematic representation of the photolithographic process, in which a mask pattern is imaged onto the wafer. The light is collected by the condenser optics (shown here as a single lens) and further illuminates the mask, which contains the desired pattern. The projection optics (shown as a single lens) images the mask onto the wafer with a magnification of 1/4 or 1/5

The wafer is a thin polished slice of silicon or other semiconductor material on which a thin layer of photosensitive film, known as the photoresist, is deposited4. Both positive and negative photoresists are used. The positive photoresist is soluble by exposure to radiation, allowing the exposed areas to be dissolved away in a development step. In contrast, the exposed areas of a negative photoresist remain when the wafer is further developed and baked. The patterning process is repeated 20-30 times to create the layers necessary for the three-dimensional structure of the integrated circuit on the wafer.

The performance of a lithographic exposure is determined by the minimum size, R, of a printable feature. NA 1 λ k R= , (1.1) where k1 is a parameter that depends on photoresist recording and processing, λ is

the exposure wavelength and NA is the numerical aperture of the projection system.

Equation (1.1.) shows the directions in which lithography must evolve in order to satisfy the demands to reduce the minimum size, R:

a. decrease the parameter k1

b. decrease the wavelength λ

c. increase the numerical aperture NA

(15)

These parameters will be discussed below in detail, with a special emphasis on the projection optics, as the design of these high-quality imaging systems using novel techniques is the subject of this thesis.

1.1.1. k

1

-factor

The k1 factor represents the composite effect of illumination, mask, lens aberration, defocus, photoresist properties, wafer unflatness, spurious reflections, etc. Especially with respect to the aberrations, a low k1-value poses very stringent requirements on the residual value of these. In practical cases, the design system should have a residual aberration that does not exceed λ/30 (or 5 mλ) in root mean square value after averaging over the exit pupil of the projection system. This means that the peak-to-valley aberration will be typically of the order of λ/30 and with such a designed system the lowest possible k1-factor can be achieved. Since 1980s, k1 has decreased from 0.8 to less than 0.4. The lower limit is 0.25 for periodic pattens, the so-called "dense line and spacings". Exceptionally, smaller isolated features can be printed.

1.1.2. The wavelength

The wavelength used in a lithographic tool is determined by the availability of radiation sources. Until 1980s, optical lithography was performed with high-pressure Hg-discharged lamps at 436 nm (G-line), 405 nm (H-line) and 365 nm (I-line). An example of a lithographic objective5 operating at 405 nm is shown in Fig. 1.1.2. With a numerical aperture of 0.38 and using a k1 factor of 0.8, the system could achieve a resolution of 0.85 µm.

Fig. 1.1.2. Lithographic objective designed for 405 nm with NA = 0.38 and a reduction ratio of 0.2. The system comprises two bulges, indicated by a gradual change in the lens diameter, used in order to reduce the field curvature.

(16)

Fig. 1.1.3. Lithographic objective for 248 nm with a NA of 0.75. The mask is imaged with a magnification of 0.25.

Nowadays, lithographic tools use a wavelength of 193 nm. The source of radiation is an argon fluoride (ArF) excimer laser. The transition to 193 nm has reduced the number of materials that can be used in lens manufacture to high-purity synthetic fused silica (SiO2) and calcium fluoride (CaF2). The 193 nm radiation produces two undesired effects in fused silica: reduced transmission and birefringence, which causes time- and space-varying changes in the state of polarization of the transmitted wave. To compensate for these effects, most lithographic objectives contain a number of lenses made of CaF2, especially in places where the laser intensity is highest. Figure 1.1.4 shows a lithographic objective7 that uses a wavelength of 193 nm. To increase the numerical aperture and decrease the volume of the lenses, a number of surfaces has aspheric shapes8. The system can achieve a resolution smaller than 75 nm assuming that k1 is 0.33.

(17)

Fig. 1.1.5. Lithographic objective, with two folding mirrors, used for exposure at 157 nm. The numerical aperture is 0.85.

Smaller wavelengths have been achieved using xenon (Xe), lithium (Li) or Tin (Tn)-based discharge-produced plasma. The technology, known as Extreme UV Lithography, uses radiation between 10 nm and 14 nm and will be discussed in detail in section 1.2.

1.1.3. Numerical aperture

The numerical aperture of a projection optical system is defined as

NA=nsinθ (1.2) where n is the refractive index of the medium and θ is the half-angle of the cone of

the focusing beams, subtended at the wafer.

The lithographic process is usually performed in air or nitrogen that have a refractive index, n, close to 1.0. Therefore, the maximum limit for NA is also 1.0. Figure 1.1.6 shows a dioptric system11 for 193 nm wavelength, that has a numerical aperture of 0.9 and can achieve a resolution of 70 nm.

(18)

State of the art projection systems with high numerical aperture are capable of printing microchips with a resolution of 65 nm in volume production and 45 nm in pre-production testing and development stages12.

The numerical aperture can be extended above the limiting value of 1.0 using immersion techniques. The gas ambient between the projection system and the wafer is replaced with transparent liquid, for example ultra-pure water. At 193 nm the refractive index of water is approximately 1.43. The numerical aperture at a given angle θ is increased by 44%. Figure 1.1.7. shows a lithographic system13

with a numerical aperture of 1.3 that can achieve a high resolution.

Fig. 1.1.7. Lithographic objective for 193 nm comprising two folding mirrors. In 2005, the first lithographic tools, having projection optics with a NA larger than 1.0, have been developed for volume production14,15. With a numerical aperture of 1.215 they print feature sizes of 42 nm in volume production. An increase in the numerical aperture to 1.26 leads to a decrease in the feature size to 38 nm16. The numerical aperture is expected to become 1.3 and larger14.

(19)

1.2. Extreme-Ultraviolet Lithography

Extreme Ultraviolet Lithography, using radiation with a wavelength of 10 to 14 nm, is the most promising future generation lithography technique, that can replace Deep UV lithography to satisfy the industry's demands of printing microchips with feature sizes below 30 nm without throughput loss. In many respects, the technology is similar to that used nowadays to print 45 nm node feature at 193 nm (see Fig. 1.1.1.). Most of the differences appear because all materials, including gases, absorb the EUV radiation. EUV lithography must be performed in vacuum and all refractive elements, such as lenses in the projection optics and the transmission mask, that are used in DUV lithography, must be replaced by reflective elements.

Extreme UV radiation can be generated using various sources:

a. synchrotron sources - the disadvantages are the size and the installation requirements. However, they provide sufficient output power and lifetime. b. laser produced plasma sources and discharge lamp sources - A high-power

pulse laser or a high voltage discharge are focused in a gas, such as xenon, lithium or tin, to release radiation in the EUV range. Lithographic tools that will be made available in the second quarter of 2006 use a xenon-based source. The EUV radiation is reflected by the collector optics into an arc shape, which is focused on the reflective mask. The multilayer mirrors in the collector optics are directly exposed to the EUV radiation from the source and are, therefore, damaged by the high-energy xenon ions. In addition, they must withstand high temperatures. The lifetime of the collector must exceed 30.000 hours, for the technology to become feasible for high-volume production.

(20)

1.2.1. Present state in the development of EUVL projection

optics

The first tool developed to demonstrate EUV imaging has been presented in 2001. The Engineering Test Standard (ETS)19 is a step-and-scan lithographic tool that uses a wavelength of 13.4 nm. The projection optics (see Fig 1.2.1.) is a four-mirror ring-field system with a numerical aperture of 0.1 and a magnification of 0.25, at a k1 factor of 0.52. Three of the mirrors are aspherical with a maximum departure from sphericity of 10 µm. The third mirror is spherical. Specifications20 for surface figures are 0.25 nm rms, for mid- and high- spatial roughness are 0.2 nm rms, respectivelly 0.1 nm rms. All mirrors are coated with layers of molybdenum and silicon, which allow a maximum reflectivity of 66.7%. The chief ray leaving the last mirror is parallel to the optical axis. Distortion is controlled below 1 nm during the scanning process. The root-mean-square (rms) wavefront aberration is less than 1 nm and the achievable resolution is 70 nm.

Fig. 1.2.1. Four-mirror projection system designed to illustrate the possibilities of EUVL.

(21)

Fig. 1.2.2. Six-mirror system with a numerical aperture of 0.25. All mirrors have aspheric shapes.

Six-mirror designs have also been presented by Bal24, Braat25-28, Shafer29, Williamson30, Hudyma31, Mann32, Dinger33 and Sasaki34.

In order to reduce the dimensions of the printed features on a microchip below 30 nm, the numerical aperture must be increased to at least 0.435. An example of an EUV optical system with such a high NA is shown in Fig. 1.2.3.

Fig. 1.2.3. Eight-mirror projection system36 with a numerical aperture of 0.4 and a rms wavefront aberration smaller than 0.03 λλλλ. The wavelength is 13 nm and the achievable resolution should be below 20 nm.

Eight-mirror systems designed by Bal24 and Shafer29 were also found in the literature. Their performances, however, do not make them suitable for volume production.

1.3. Conclusions

(22)

Nowadays, lithographic tools use radiation of 193 nm to achieve a resolution of 45 nm. A special attention has been given to EUV lithography, the technology that will probably be used to print microchips with features smaller than 30 nm in mass-production. The improvements of the lithographic technology have been presented with a special emphasis on the evolution of projection objectives.

1.4. References

1. http://nobelprize.org/physics/educational/integrated_circuit/history/ 2. http://asml.com/asmldotcom/show.do?ctx=5869&rid=19478

3. G. E. Moore, "Cramming more components onto integrated circuits," Electronics, Vol. 38, N. 8, Apr. (1965).

4. H.J.Levinson, W.H.Arnold, "Handbook of Microlithography, Micromachining, and Microfabrication", Vol. 1, pp 11-126, 1997

5. J.Braat, Quality of microlithographic projection lenses, Proc. SPIE 811, 22-30,

1987

6. T. Takahashi, "Projection optical system", U.S. Patent 6,781,766, 2004

7. Y. Fujishima, H. Ikezawa, T. Ozawa, Y. Omura, T. Suzuki, "Production method of projection optical system", U. S. Patent 6,788,389 B2, 2004

8. W. Ulrich, H.-J. Rostalski, R. Hudyma, "Development of dioptric projection lenses for deep ultraviolet lithography at Carl Zeiss", JM3 3(1), 87-96, 2004 9. T. M. Bloomstein, J.Vac. Sci. Technol. B 16(6), 1998

10. T. Takahashi, J. Nishikawa, Y. Omura, "Projection optical system, manufacturing method thereof, and projection exposure apparatus", U. S. Patent 6,757,051 B2, 2004

11. W. Ulrich, R. Hudyma, H.-J. Rostalski, WO2003075096 A2, 2003 12. http://asml.nl/asmldotcom/show.do?ctx=5869&rid=7388

13. D. R. Shafer, A. Epple, A. Dodoc, H. Beierl, W. Ulrich, "Catadioptric projective objective with geometric beam splitting", U. S. Patent 6,995,930 B2, 2006 14. http://www.nikonprecision.com/tech/tech_2_1.htm

15. http://asml.com/asmldotcom/show.do?ctx=5869&rid=11958 16. B. Smith, "Under water", OE Magazine, 22-25, 2004

17. http://download.intel.com/technology/silicon/Yan_Borodovsky_SPIE_2006.pdf 18. N. Harned, S. Rouk, "Progress report: Engeneers take the EUV lithography

challenge", OR Magazine, 18-20, 2003

19. T. Jewell, K. Tompson, "X-ray ring field lithography", U. S. Patent 5,315,629. 1991

20. D. A. Tichenor, et all, "System integration and performance of the EUV Engineering Test Stand",

http://www.eecs.berkeley.edu/~jbokor/Full_text_pubs/2-169.pdf 21. http://asml.com/asmldotcom/show.do?ctx=13559&rid=14010

(23)

23. N. Harned, L. Girard, P. Kuerz, L. Marchetti, State of the art optics polishing

and metrology for EUV lithography mirrors, 2005

24. M. Bal, F. Bociort, J. Braat, "Lithographic apparatus, device manufacturing method, and device manufacture thereby", U. S. Patent 6,556,648 B1, 2003 25. J. Braat, "Mirror projection system for a scanning lithographic projection

apparatus, and lithographic apparatus comprising such a system", U. S. Patent 6,299,318, 1998

26. J. Braat, "Mirror projection system for a scanning lithographic projection apparatus, and lithographic apparatus comprising such a system", U. S. Patent 6,255,661, 1999

27. J. Braat, "Mirror projection system for a scanning lithographic projection apparatus, and lithographic apparatus comprising such a system", U. S. Patent 6,396,067, 1999

28. J. Braat, "Extreme UV lithography, a candidate for next-generation lithography", Proc. SPIE 4016, 2-7, 1999

29. D. Shafer, "Projection lithography system and method using all-reflective optical elements", U. S. Patent 5,686,728, 1996

30. D. Williamson, "High numerical aperture ring field optical resolution system", U. S. Patent 5,815,310,1998

31. R. Hudyma, "High numerical aperture ring field projection system for extreme ultraviolet lithography", U. S. Patent 6,033,079

32. H. - J. Mann, W. Ulrich, R. Hudyma, "Reflective projection lens for EUV-photolithography", U. S. Patent 6,927, 901 B2, 2005

33. U. Dinger, "Microlithography reduction objective and projection exposure apparatus", U. S. Patent 6,902,283 B2, 2005

34. T. Sasaki, M. Suzuki, E. P. 1471389 A2, 2004

35. D. M. Williamson, Remaining challenges in microlithographic optical design, Proc. SPIE 58740, N1-N12, 2005

(24)

2. Optimization

This chapter is devoted to the general process of optimization. The discussions covered here are limited to the fundamental principles which are applicable to the design of a broad range of optical systems, e.g. merit function construction. Finally, special attention is given to the requirements and the difficulties encountered during the design of EUV lithographic objectives.

2.1. Optical system design

The industry's demands for microchips with smaller and smaller feature sizes can be partially satisfied with improved design techniques for the imaging system between the mask and wafer. The purpose of optical system design is to generate a set of system specifications that fulfills as closely as possible the requirements for a certain application (e.g., rms wavefront aberration, distortion, tolerances, etc.). In the design process, computer modeling is an essential tool. From an initial configuration, the optical design program changes the system parameters to produce a mathematical prescription describing the shapes and locations of elements, materials, etc.

(25)

2. establish the variables (i.e. the system parameters which are changed automatically during the optimization)

3. define the constraints (i.e. a set of operands which limit the variation domain of the variables, so that the system satisfies the requirements of a specific application)

4. define a function of the system parameters, called merit or error function, that measures the image performance

In addition, the designer must also continuously monitor the process, modifying the merit function in order to achieve the "best" solution, according to his interpretation. The general process of optimization begins with the selection of the starting configuration, which is done, traditionally, on the basis of patents and lens databases, first-order layouts, previous research, experience or global searches in the merit function space. In the special case of reflecting aspheric systems, a first paraxial exhaustive search proven to be a successful approach1. The choice of the initial configuration is critical for the result of local optimization. Poor starting configurations often lead to solutions with bad imaging quality. In the merit function landscape, an optical system is described in the merit function space by the vector

x = (x1, x2, …, xN), where xi are the optimization variables and N is their number. Examples of variables are the surface curvatures (or radii), the axial distances between the surfaces, the aspheric coefficients, surface tilts and decenters and the glass characteristics. In most of the cases, the most important variables are the curvatures2. The evolution of these variables can be limited by the use of constraints, in order to avoid non-physical or unrealistic regions of the parameter space.

The constraints can include items such as magnification, ray angles, telecentricity and virtually any other system requirements. Their detailed description is given in section 2.4.

In optical system design two types of constraints are used3: 1. equality constraints

ci (x) = pi, with i = 1, …,m < N 2. inequality constraints

dj (x) < qj with j = 1, 2, …

Inequality constraints are used when the variation of a system parameter is permitted within a certain domain. When the optimization reaches the boundary of the allowed region, the inequality constraint becomes an equality constraint, i.e. the constraint is now active during optimization.

The purpose of optimization is to minimize the merit function of the optical system, which is defined as the weighted sum of the squares of the operands:

(26)

where fi is an operand with zero target, corresponding to some image defect, and wi is the weight on the operands. These operands are usually computed by tracing finite rays (i.e. "real" rays as opposed to paraxial rays). The squares are used, so that a negative value of a defect does not compensate a positive value of another defect.

The optimization program seeks a minimum in the merit function space of the optical system, for which

F

(x

)

=

0

. (2.2) Equation (2.2) is solved in an iterative process, by changing at each cycle the vector x. When the optical design program detects, by reducing F, the closest solution to the starting point, that is located within the boundary conditions and satisfies the imaging goals, the process is called local optimization. The disadvantage is that, in the merit function space, a better solution might exist at a different location.

The choice of the starting configuration is critical for the result of local optimization. For example, in Fig. 2.1.1., depending on the selected starting point (indicated with A, B, C or D), the optimization algorithm will find one of the four minima (m1, m2, m3 or m4). This detected minimum is a local solution, but might not be the best solution (i.e., the local minimum with the lowest merit function). Attempts to detect the minimum with the best imaging performance (i.e., the global minimum), are done with global optimization methods, which search the entire space by placing the starting variables for local optimization in different regions.

x

Fig. 2.1.1. A sketch of the multidimensional merit function space that comprises four local minima: m1, m2, m3 and m4. The minimum with the lowest merit function, m1, is

the global minimum. Depending on the starting point (A, B, C or D) the optimization detects different solutions.

(27)

2.2. Local optimization

Numerous algorithms have been proposed to solve the local optimization problem2,4,5,6. However, most optical design programs use some form of damped least-squares (DLS) method. A significant amount of work has been invested in making this method more effective. In the following section the essence of this method is discussed for the case of optimization without constraints. When constraints are used, two approaches are possible: the penalty function or the Lagrange invariant3.

2.2.1. Damped Least Squares

The DLS method is a downhill optimizer, which means that the merit function, as defined by eq. (2.1) is allowed only to decrease during optimization.

If the changes in the operands in Eq. (2.1) were linear in the variables, at each step in the process, the algorithm would have to solve the following equations:

xj x f f f j j i i i

å

∂ ∂ − = 0 . (2.3) The merit function would be minimized, if the change in the vector x, is given4 by

=

T

)

−1

(

T

f

0

)

A

A

(A

-x

, (2.4)

where A is the derivative matrix j i ij

x

f

A

=

. (2.5) However, because the relationships between the operands and variables are non-linear, an improved solution is not guaranteed with this process. Therefore a new term, that limits the magnitude of the vector x, is usually added to the merit function. A new merit function is defined

x

x ∆

d∆

F

F

'

=

+

T , (2.6)

where d is the damping factor.

(28)

x

=

(

A

T

A

+

dI

)

−1

A

T

f

0, (2.7) where I is the diagonal matrix.

The damping factor keeps the evolution of the optimization close to the linear region.

2.3. Global optimization

The multidimensional merit function space comprises a large number of local minima. Detecting the best one (i.e., the local minimum with the best imaging performance) is a difficult task. Nowadays, available for the designer are global optimization algorithms, such as global synthesis7, global explorer8, simulated annealing9, genetic algorithms10, 11, 12, escape function13 and network global search method14. The latter will be illustrated in Chapter 4 with examples from EUV lithography. To compare the results, similar searches have been performed with Global Synthesis, which is implemented in Code V15 and Global Explorer, implemented in OSLO16.

Global Synthesis is a "black box" global optimization algorithm. However, its usage

has shown powerful capabilities in detecting existing local minima in the merit function space.

Global Explorer is an escape approach. The idea behind the method is that, when,

during optimization, the design falls in the basin of attraction of an already known local minimum, an escape function, fE, can be added to the previously defined merit function, F(x).

þ

ý

ü

î

í

ì

=

å

2 mj j j j 2 E

x

x

W

2

1

H

f

exp

µ

(

)

, (2.8)

(29)

Fig. 2.3.1. Illustration of the escape function approach. At a minimum, detected by a local optimization algorithm, an escape function is added to the merit function. The optimization exits the basin of the local minimum and rolls down towards a new solution.

The shape of the merit function, F(x), changes around the local minimum when the escape function is added. This enables the optimization to escape the existing basin and find another local minimum.

2.4. Requirements for the design of

Extreme UV projection optics

A suitable design for EUV lithography must satisfy not only the required optical performance, but also the economical and mechanical demands. For example, the larger the departure from sphericity of an optical element, the more expensive the manufacture process becomes. The challenge is to design a projection optics with high-quality, that satisfies the demands imposed by all subsystems comprised in and EUV tool (i.e., source, mask, multilayers, wafer).

The requirements on the EUV mirror systems, as imposed on the designs shown in Chapters 4 and 5, are:

1. telecentricity

(30)

reason is that an EUVL tool has a reflective mask, which is illuminated by the condenser system.

2. working space

Working space is defined as the distance from

• the mask to the mirror substrate closest to the object plane

• the mirror substrate closest to the image plane to the wafer

Achieving the required working space at the wafer can be difficult since the solid angle of the image bundles has the largest value at that location. State of the art EUV mirror systems have a working distance larger than 45 mm17.

3. magnification

Magnification, M, is defined as the ratio of the image size to the object size

height Object height Image = M

In the design of EUV projection systems the requirement is that the mask is imaged onto the wafer with a magnification of 1/4 or 1/518.

4. distortion

A non-constant magnification over the field results in an effect called distortion. For the EUV lithography process, distortion is acceptable only in the order of a few nanometres. State of the art projection systems have the distortion corrected below 1 nm.

5. total track

The total track is the distance from the mask to the wafer. For instance, four-mirror systems should typically have a length of 0.5 m, six-mirror systems of 1 m and eight-mirror systems of 1.5 m. A larger track length leads to a bulky system with higher sensitivity to mechanical and thermal perturbations.

6. angles of incidence

The reflection at the multilayer coated mirror decreases with the increase of the spread in angle of incidence, where the maximum variation is defined as the difference between the chief ray angle and the upper/lower marginal angle at the surface. For a good compatibility, the required variation of the incidence angles should be smaller than 6°.

7. mirror sizes

(31)

8. imaging quality

The EUV mirror systems require a root-mean-square (RMS) wavefront aberration smaller than λ/20. The designs of state-of-the-art six-mirror projection optics have a composite RMS wavefront aberration below λ/40.

9. obscuration

An optical system is obstructed when a surface intersects and blocks rays, which should propagate freely between two consecutive surfaces19. Because the presence of obscuration deteriorates the resolution, the freedom of obscuration is a stringent requirement in the design of EUV projection systems.

10. small number of mirrors

The number of elements in an EUV mirror system should be small because each additional surface reduces the throughput at the wafer. The reflection at a multilayer surface is smaller than 75%. The advantages of a design with a larger number of elements are the high achievable numerical aperture and the larger number of optimization variables available to satisfy the imaging requirements. In terms of numerical aperture, a four-mirror system can have a maximum value of 0.15. Six-mirror designs can achieve a value of 0.3 at the most. Two additional mirrors will increase the maximum limit to typically 0.4.

11. small asphericity

The curvatures of the elements in an EUV mirror system do not provide sufficient optimization variables to correct the aberrations. In order to increase the number of optimization parameters, each surface must be made aspheric. However, the departure from sphericity on each mirror must preferably be controlled during the optimization at a value below 20 µm.

2.5. Issues in the design of EUV

projection optics

(32)

2.5.1. Ray failure

Various applications require different control parameters such as numerical aperture, field and magnification. The increase in one of these parameters can cause ray failure in the system, because some rays miss a certain surface or total internal reflection occurs. A solution is to temporarily decrease the values of the parameters and reoptimize the system. The optimization will reduce the angles of incidence at the surface and thus, keep the system away from the ray failure boundary. At that stage, the parameters can be rescaled at larger values and the system can be reoptimized.

2.5.2. Stagnation

Sometimes the convergence of the optimization algorithm becomes slow and no perceptible improvements are observed. This suggests the existence of flat plateaus in the merit function space. A possible remedy applied during the research is the change in a merit function20. Weighting factors or boundary conditions can be relaxed so that the optimization can modify the system parameters.

An analysis of the optical system can sometimes indicate that some variables are superfluous. For example, high-order aspheric coefficients are not always necessary in the design. Their existence does not improve the system performance, but can create convergence problems, although they become small during optimization. Eliminating such variables is often a good solution for a stagnated optimization.

2.5.3. Instability in the optimization

When EUV projection optics with high-order aspheric surfaces are optimized even a small change in one of the system parameters can often cause large deviations in the ray path. The configurations enter into highly unstable regions of the merit function space and the optimization algorithms terminate abnormally.

2.6. Approach

(33)

The traditional usage of local and global optimization techniques, as described in this chapter, has encountered difficulties in the design of a large number of mirror systems. New design methods have been developed and they are discussed in the next chapters as follows:

1. Stabilizing the optimization

The sensitivity of EUV mirror systems to the changes of the parameters is an important issue during the design process. Briefly mentioned in section 2.5.3 of this chapter, the problem is analyzed in detail in Chapter 3. A solution has been found in the so-called "quasi-invariant", which is an extension of the well-known Lagrange invariant. The method is illustrated with the optimization examples of six-mirror ring-field systems.

2. Networks of local minima

The global optimization algorithms implemented in commercial optical design programs such as Code V15 and OSLO16 (used during this Ph.D. research), give the solutions as single points. Chapter 4 shows the work done to understand the existence of local minima in the merit function space and the relationships between them. The method described here is illustrated with examples from the merit function space of four-, six- and eight-mirror systems.

3. Saddle point construction

The insight into the merit function space gained from the research presented in Chapter 4, has been used in developing a new design method based on the saddle point construction. A detailed description of the method is given in Chapter 5. The method has been used in the design of eight-mirror systems, as well as for Deep UV designs that use radiation of 248 nm and 193 nm.

2.7. Conclusions

The optimization process in optical system design has been briefly discussed in this chapter. The essence of the damped least square algorithm, which is in general used by optical design programs for local optimization, has been presented. As an example of a global search algorithm, the global explorer, implemented in the optical design program OSLO, has been described.

(34)

2.8. References

1. M. F. Bal, "Next-generation Extreme Ultraviolet lithographic projection

systems", ISBN: 90-9016530-4, 2003

2. R. R. Shannon, The art and science of optical system design, Cambridge University Press, Cambridge, 1997, 334-355

3. F. Bociort, "Optical system optimization", in Enciclopedia of Optical

Engineering, 1843-1850, Marcel Dekker, New York, 2003

4. Handbook of Optics, 2ed., Mc Graw Hill, Belfast, 1995, Vol1, 34.1-34.17 5. M.J.Kidger, "Use of the Levenberg-Marquardt optimization method in lens

design", Optical Engineering 32(8), 1731-1739, 1993

6. W. Press, S. Teukolsky, W. Vetterling, B. Flannery, Numerical recipes in C:

The art of scientific computing, Cambridge University Press, Cambridge, 2002

7. T. G. Kuper, T. I. Harris, "Global optimization for lens design - an emerging technology", Proc. SPIE 1780, 14-28, 1992

8. M. Isshiki, H. Ono, K. Hiraga, J. Ishikawa, S. Nakadate, "Lens design: Global optimization with escape function", Optical Review (Japan) 6, 463-470, 1995 9. G. W. Forbes, A. E. W. Jones, "Towards global optimization with adaptive

simulated annealing", Proc. SPIE 1354, 144-151, 1991

10. K. E. Moore, "Algorithm for global optimization of optical systems based on genetic competition", Proc. SPIE 3780, 40-47, 1999

11. L. W. Jones, S. H. Al-Sakran, J.R. Koza, "Automated synthesis of both the topology and numerical parameters for seven patented optical lens dystems using genetic programming", Proc. SPIE 587403, 1-15, 2005

12. J. Beaulieu, C. Gagne, M. Parizeau, "Lens system design and re-engineering with evolutionary algorithms", Proc. of GECCO, 1-8, 2002

13. J. Barhen, V. Protopopescu, D. Reister, "TRUST: A deterministic algorithm for global optimization", Science, Vol 276, 1094-1097, 1997

14. F. Bociort, E. van Driel, A. Serebriakov, "Networks of local minima in optical system optimization", Optics Letters 29(2), 189-191, 2004

15. Code V, Optical Research Associates, Pasadena 16. OSLO, Lambda Research Corporation, Littleton

17. R. Hudyma, "High numerical aperture ring field projection system for extreme ultraviolet lithography", U. S. Patent 6,033,079

18. J. Braat, "Extreme UV lithography, a candidate for next-generation lithography", Proc. SPIE 4016, 2-7, 1999

19. M. Bal, F. Bociort, J. Braat, "Analysis, search, and classification for reflective ring-field projection systems", App. Opt. 42 (13), 2301-2311, 2003

(35)

3. Stabilisation of the

optimization

When Extreme Ultraviolet mirror systems with several high-order aspheric surfaces are optimized, the configurations often enter into highly unstable regions of the parameter space. Small changes of system parameters then lead to large changes in ray paths, and therefore optimization algorithms terminate abnormally because the assumptions upon which they are based (e.g. continuity) become invalid. A technique that keeps the configuration away from the unstable regions is described in this chapter. It will be shown that by limiting the magnitude of the finite-ray aberration generated by various surfaces the stability will be improved. The central component of our technique is a finite-ray aberration quantity, the so-called quasi-invariant. The quasi-invariant is computed for several rays in the system, and its average change per surface is determined for all surfaces. Small values of these average changes indicate stability. This technique is applicable for arbitrary rotationally symmetric optical systems. Examples from the design of aspheric mirror systems for EUV lithography will be given.

3.1. General considerations

(36)

deterioration in image quality are not very restrictive, high-order aberrations are kept at low levels1. In this case, if third-order aberration analysis is used, not only the total values of the (relevant) Seidel coefficients must be sufficiently low, but the individual contributions of the various surfaces to these coefficients must be kept within limits as well2. Surfaces where individual surface contributions to the Seidel coefficients are too large tend to generate high-order aberrations and the total system will suffer from "strain" (the opposite of "relaxation") even when the resulting imaging quality is satisfactory.

In the present research, a special interest has been given to rotationally symmetric systems having many high-order aspheric surfaces, such as the EUV lithographic objectives. When such systems are optimized with the aspheric coefficients as variables, the configurations often evolve toward shapes in which the "strain" is so extreme that optimization itself becomes difficult. When small changes to system parameters lead to large changes in the ray paths, optimization algorithms tend to become unstable and to terminate abnormally.

In this chapter, a strategy to avoid such unstable regions in the parameter space of the system is presented. The method is based on a finite-ray aberration quantity, called the quasi-invariant*. In the literature, there is also ample choice of finite-ray aberration formulae3,4, for instance the general isoplanatism theorem. Aberration formulae derived from this theorem can refer to the transition from one medium to another, from the space in front of a lens to the space behind it, etc. If such an expression can be made zero with respect to a variable change, a so-called "isoplanatic condition" is realized. Satisfaction of the general isoplanatism condition then guarantees robustness of the lens of group of lenses with respect to the changes in the specific variable. In general, satisfying such a condition for a certain configuration means that the system is insensitive to the changes of one of more parameters. Alternatively, such a system is designated as being "relaxed" or "strain-free", an important and desirable property of a manufacturable optical system. Our quasi-invariant is almost identical with the one originally introduced by H. A. Buchdahl3 for a very different purpose, namely to compute high-order aberration coefficients. Whereas the Seidel aberrations contain only the 4th order aspheric surface coefficient, the quasi-invariant contains the aspheric coefficients of all orders. Section 3.4 shows how the quasi-invariant can be used to stabilize the optimization. Basically, the idea mentioned above is generalized for finite rays to limit the acceptable magnitude of the contributions of individual surfaces to the aberrations of the system. The stabilization technique consists of two steps: first, a stable initial configuration is obtained for subsequent optimization by choosing the system parameters such that the quasi-invariant change per surface is minimal. Then, if the average changes per surfaces of the quasi-invariant remain acceptably

* In the paraxial approximation, the quantity defined by Buchdahl becomes the well-known

(37)

small during optimization, the configuration is kept in the safe region of the parameter space. In principle, the same basic idea could also be implemented by using the so-called Aldis theorem4 (the Welford finite aberration formula or Hopkins expression), but in our opinion the use of the quasi-invariant is simpler and more straightforward in its application, because it has been defined in ray optics domain.

3.2. Paraxial approximation

Consider a rotationally symmetric optical system. The object plane is denoted by O, the paraxial image plane by I and the stop plane by S. An arbitrary ray, passing through the system, is defined by its normalized coordinates in the object plane (τxy) - the field coordinates- and in the stop plane (σx, σy) - the aperture

coordinates. Thus, if the stop radius is rS and the maximal object height is rO, then

the Cartesian coordinates are related to the normalized coordinates at the stop plane by y S S x S S r y r x = σ , = σ (3.1)

and in the object plane by

y O O x O O r y r x = τ , = τ . (3.2)

At each surface, the position and direction of a ray passing through the system are fully determined by the x and y coordinates of its point of intersection with the surface and by the optical direction cosines ξ and η, corresponding to x and y. The optical direction cosines, ξ and η, are the direction cosines, L and M, multiplied by the refractive index,

nM nL = = η ξ (3.3) If a ray is given by the unit vector aH and the axis of the coordinate system has the unit vectors iH,Hj,kH, than L=aHiH,M =aHHj,N=aHkH.

(38)

Fig 3.2.1. Paraxial heights and slopes of the marginal ray OB and chief ray AP at an arbitrary surface. The height of the chief ray in the object plane is equal to the maximum object height, rO, and the height of the marginal ray at the stop plane gives the stop radius, rS.

Here, the paraxial marginal and chief ray heights are denoted h andh, the corresponding marginal and chief ray slopes are denoted u andu and the refractive index is denoted by n (See Fig. 3.2.1.). In the case of mirror systems, which are studied here, the refractive index n equals ± 1, the sign changing after each reflection.

The quantities h,h, u,u are not independent. In fact, the quantity H, defined by

u

nh

u

h

n

H

=

, (3.5) (the Lagrange invariant) retains the same value throughout the system. It plays an essential role in Buchdahl's deductions.

(39)

3.3. Quasi - invariants

The quasi-invariants will be introduced following Bociort6.

For an arbitrary finite ray, (i.e., "real" rays as opposed to paraxial rays), consider the two components of the transverse aberration vector of the ray. As usual, these components are defined at the paraxial image plane by

Ξ

x

=

x

I

~

x

I

,

Ξ

y

=

y

I

~

y

I. (3.6) Consider first eqs. (3.4), which hold for the paraxial approximations of the ray parameters. Eight quantities,

,

,

ξ

ˆ

,

η

ˆ

,

σ

ˆ

x,

σ

ˆ

y,

τ

ˆ

x,

τ

ˆ

y, will be defined in what follows, such that eqs. (3.4) hold exactly for them (see eq.(3.7)).

y x y y x x x x

nu

u

n

h

h

y

nu

u

n

h

h

x

σ

τ

η

σ

τ

σ

τ

ξ

σ

τ

ˆ

ˆ

ˆ

,

ˆ

ˆ

ˆ

ˆ

ˆ

ˆ

,

ˆ

ˆ

ˆ

+

=

+

=

+

=

+

=

(3.7)

The first requirement for determining the new quantities is that in the paraxial approximation eqs. (3.7) reduce to eqs. (3.4). Thus, the paraxial approximations for

x

σ

ˆ

,

σ

ˆ

y,

τ

ˆ

x,

τ

ˆ

y must be the quantities

σ

x,

σ

y,

τ

x,

τ

y, which by definition (eqs.(3.1) and (3.2)) are surface-independent. Following Buchdahl, any quantity which reduces to such an invariant in the paraxial limit will be called a quasi-invariant. Clearly,

σ

ˆ

x,

σ

ˆ

y,

τ

ˆ

x,

τ

ˆ

y are such quantities.

The basic idea is now to relate the aberrations produced by each surface to the changes of the quasi-invariants at that surface. Therefore, since the normalized coordinates are defined at the object and stop planes, the requirement is that the quasi-invariants associated to the field and aperture coordinates are free of aberrations at the object and stop planes, respectively, i.e. that they reduce to the corresponding ray coordinates,

τ

ˆ

xO

=

τ

x

,

τ

ˆ

yO

=

τ

y, (3.8) and

σ

ˆ

xS

=

σ

x

,

σ

ˆ

yS

=

σ

y. (3.9) Since at the object planeh = rO and h = 0 and at the stop plane h = rS and h = 0, it

(40)

x

ˆ

=

x

,

y

ˆ

=

y

. (3.10) The following requirement is that eq. (3.10) must be valid at each planar surface. The components Ξxand Ξy of the transverse aberration can be expressed through

the quasi-invariants. By denoting the maximal paraxial image height by rI, it follows

from eq. (3.6) that

)

ˆ

(

~

x xI I I I x

=

x

x

=

r

τ −

τ

Ξ

. (3.11)

A similar relation is valid for the y-component. For the moment, only the x-component will be considered. Obviously, the total change of

τ

ˆ

x from the object to the image plane can be written as sum of all individual changes in the system

τ

ˆ

xI

τ

x

=

τ

ˆ

xI

τ

xO

=

Σ∆

τ

ˆ

x. (3.12) For determining the expressions of the quasi-invariants, consider eq. (3.7) as systems of linear equations with unknowns

σ

ˆ

x,

σ

ˆ

y,

τ

ˆ

x,

τ

ˆ

y. It follows from eqs. (3.7) and (3.5) that at each surface of the system

)

ˆ

ˆ

(

1

ˆ

ξ

τ

nu

x

h

H

x

=

, (3.13) and

)

ˆ

ˆ

(

1

ˆ

ξ

σ

n

u

x

h

H

x

=

. (3.14)

The precise form of

,

,

ξ

ˆ

and

η

ˆ

must now be determined. The usual assumption in aberration theory is that transfer through a homogeneous medium does not contribute to the aberrations. Therefore, the change of

τ

ˆ

x must vanish at transfer through a homogeneous medium.

Consider first the case of the transfer between two planes separated by the distance z. It can be easily verified that the transfer contributions vanish for

ζ

η

η

ζ

ξ

ξ

ˆ

=

n

,

ˆ

=

n

, (3.15)

where ζ is the optical direction cosine with respect to the z-axis, 2

2

2

ξ

η

(41)

Note that ζ = nN, where N is the direction cosine along the z-axis. In the case of mirror systems, n and N have the same sign (that changes after each reflection), so ζ will always be positive.

In fact, at transfer, n, u, ξ, and ζ remain unchanged. Thus,

x

ˆ

=

x

=

z

,

h

=

uz

ζ

ξ

, (3.17) and therefore

ˆ

=

1

(

nu

x

n

h

)

=

0

H

x

ζ

ξ

τ

. (3.18)

Consider now the case of transfer between two curved mirror or lens surfaces. At every surface the assumption is made that the plane is tangent to the surface at its vertex (the polar tangent plane). Obviously, eq. (3.18) also holds if instead of x, one considers the quantity

defined as the x-coordinate of the intersection point of the transferred ray (or its prolongation) with the corresponding polar tangent plane. Thus, the quantities

and

in eq. (3.7) must be the polar-tangent-plane coordinates of the given ray. (See Fig. 3.3.1.). Now, the quasi-invariants (eqs. (3.13)-(3.14)) are uniquely defined.

Fig. 3.3.1. The quantities

and

x

ˆ

, before and after reflection of a ray at a mirror surface. The quantities

and

y

ˆ

are similarly defined. These four quantities are necessary for computing

τ

ˆ

xand

τ

ˆ

y.

Thus, the quasi-invariant

τ

ˆ

x has non-zero changes

τ

ˆ

x at the individual surfaces and, in eq. (3.12), the sum must be taken over all surfaces. Note that for each ray-surface intersection point, there are two values for

(and

): one before and one

(42)

after reflection or refraction. Having established the form of the quantities appearing in eq. (3.7), note that relations similar to eqs. (3.13) and (3.14) can be written for

τ

ˆ

yand

σ

ˆ

y

)

ˆ

ˆ

(

1

ˆ

)

ˆ

ˆ

(

1

ˆ

η

σ

η

τ

h

y

u

n

H

h

y

nu

H

y y

=

=

(3.19)

Since at the paraxial image plane H = rInIuI , it follows from eqs. (3.10) and (3.11)

that

)

ˆ

(

1

x I I x

H

u

n

Σ∆

τ

=

Ξ

, (3.20)

a similar relation being valid for Ξy. The quantity (Hτˆx)/nIuI may thus be

interpreted as the contribution of a given surface to the finite-ray aberration. The quantity τˆ and x τˆ obtained by division by the H invariant will be used in whaty follows for stabilizing the optimization. Equation (3.20) gives the decomposition of the transverse aberration of an arbitrary ray in contributions from reflection or refraction at each surface of the system. Since the two components of the

τ

ˆ

quasi-invariant are related to the transverse aberration, this quasi-quasi-invariant plays a more important role than

σ

ˆ

.

These quasi-invariants can also be used for deriving analytic expressions for Seidel and higher-order aberrations coefficients6. In a power series expansion with respect to the aperture and field coordinates, the third-order terms of

(

H

ˆ

τ

x

)

and

)

ˆ

(

H

y

τ

give the aberration coefficients.

3.4. Stabilisation of the optimization

The quasi-invariant, first introduced by Buchdahl3 and Bociort6, for computing high-order aberration coefficients, has been for the first time used by Marinescu and Bociort7 in the design of Extreme Ultraviolet projection optics, to avoid highly-unstable regions of the merit function space.

(43)

2n 2 2 2n n 2 n 2 2 2 2 2

y

x

a

Σ

y

x

c

k

1

1

1

y

x

c

z

0

(

)

)

(

)

(

)

(

+

+

+

+

+

+

=

= (3.21)

where c is the curvature at the vertex of the surface, k is the conic constant and a2n

are polynomial coefficients (n = 2, ... , n0). The parameters of such systems often

enter into highly unstable regions of the parameter space during optimization. In this section, a way to avoid this problem is shown. The solution is based on the idea that there is a correlation between the change in the quasi-invariant and the system sensitivity, i.e. the sensitivity of the ray path when the system parameters are slightly changed. According to eq. (3.20), large aberrations at a surface lead to a large increase at the

τ

ˆ

quasi-invariant at that surface. At the time of this writing, constraints on

τ

ˆ

seem to be sufficient for achieving the envisaged goal. If necessary, the path of real rays can be brought even closer to the paraxial ones if constraints are imposed on

σ

ˆ

as well.

Inspired by the Seidel analogy mentioned in the introduction, the algorithm presented below (See also Fig. 3.4.1.) keeps the aberrations per surface at an acceptable low level during optimization.

For computing

and

, the program automatically introduces two dummy

surfaces at each surface (one before the surface and one after it). Once there, they will behave as tangent planes to that surface (See Fig. 3.3.2.). The quasi-invariant before and after the surface is then computed from ray-tracing data at the surfaces (See eq. (3.13)).

At each surface, for each field point, the quasi-invariant is calculated for m rays. At present four rays per field turned out to be sufficient for the cases studied in this

work: chief ray, upper and lower marginal rays and a skew ray.7 Because of

symmetry one skew ray is sufficient. However, if necessary more rays can be used. The average change of the quasi-invariant at the surface,

∆τ

, is then:

(44)

ray path. The process is performed for all surfaces. When going to the next surface, the program will automatically freeze the variables of the previous surfaces and introduce new ones for that surface.

In the second stage, the imaging quality is again optimized surface by surface with the additional constraint

τ <

λ

, where

λ

is chosen on the basis of experience. If the maximal change per surface of the quasi-invariant is forced to remain small during optimization, the configuration is kept in the safe region of the parameter space. If the stabilization attempt is not successful, the parameter

λ

is changed and the process is repeated. At the end of the process all dummy surfaces will be automatically removed. Finally, the quasi-invariant constraint is removed and conventional optimization is performed again. The solution obtained by quasi-invariant (QI) optimization is usually a local minimum in the merit function space that is different from the one obtained by optimizing the initial configuration without quasi-invariant.

Fig. 3.4.1. Flow chart for QI optimization.

As an example, several results obtained for six-mirror EUV objectives are presented below. Figure 3.4.2. shows an optimized six-mirror system. According to the classification scheme discussed in Ref. 8, the system belongs to class 37+. All

Configuration, which if optimized conventionally, leads to an unstable

solution

Stabilized initial configuration with quasi-invariant merit function

Optimization surface by surface with quasi-invariant inequality constraint

Stable region?

Optimization without QI constraint No

Change λ

(45)

six curvatures and the aspheric coefficients of 4th and 6th order on each surface are used as variables. The image plane is placed at the paraxial position and the first distance is solved to keep the magnification constant at a value of 0.25. To the default merit function of Code V the quasi-invariant is added as a constraint. The system is kept quasi-telecentric in the object space and telecentric in the image space, i.e. the upper marginal ray in the object space and the chief ray in the image space are parallel to the optical axis. Distortion is also controlled.

Fig. 3.4.2. Six-mirror system in class 37+ stabilized with the quasi-invariant method, with image heights between 28.5 and 29.5 mm, a numerical aperture of 0.24 and a magnification of 0.25.

The histograms in Fig. 3.4.3. present the evolution of the variables during conventional and QI optimizations. Figure 3.4.3 a) shows how the curvatures behave and Fig. 3.4.3 b) shows the behavior of the 6th order aspheres (See Eq. (3.21)) at each surface. The quasi-invariant results presented here correspond to the stage immediately before the final conventional optimization. One observes that while the heights of the bars in Fig. 3.4.3 a) are roughly the same, Fig.3.4.3 b) presents a large variation in the values and the orientation of the bars. The difference between the conventional and QI optimization (based on the definition given on page 43) is due to the aspheric coefficients. For instance, the 6th order

(46)

-0.004 -0.002 0 0.002 0.004 0.006 0.008 1 2 3 4 5 6 Surface number C u rvatures initial value after optimization after QI optimization a) b)

Fig. 3.4.3. Histogram indicating the evolution of the variables during conventional and qi optimization. a) curvatures; b) the 6th order aspheres at each surface. For a better visualization of the behavior of the 6th order aspheric coefficients at the first surface, the bars are enlarged in the insert.

The sensitivity to changes in the parameters has been monitored for systems with different order of aspheres. In the case of the 4th order aspheres that induce instability in the system, the behavior of the Seidel aberrations has been analyzed as well. Even if the Seidel sums for the unstable system are lower than for the QI-optimized system, the latter optimization leads to smaller values for the aberrations

(47)

per surface and succeeds in keeping the system in the stable region of the merit function space. For virtually all cases that have been studied, the QI-optimization was able to push the system in the basin of attraction of a more stable configuration.

3.5. Conclusions

In this chapter a new method to stabilize the optimization process of EUV mirror systems with high-order aspheres has been presented. The method is based on the idea that surfaces that may generate instability are surfaces, which induce large aberrations on the reference rays. Since the departure from the invariance of the invariant (eq. (3.22)) is related to the aberration at that surface, the quasi-invariant is a useful tool for indicating these surfaces. The optimization with the quasi-invariant constraint has been applied to several projection systems and after the system was stabilized, subsequent conventional optimization was successful in most cases. An example of an EUV mirror system that has been placed in the stable region of the parameter space has been discussed in more detail. In this chapter the emphasis was on mirror systems. However, the same technique could be useful for different applications as well.

The instability problems encountered during this research have been successfully solved when adding the quasi-invariant to the optimization.

3.6. References

1. D. Shafer, “Optical design and the relaxation response”, Proc. SPIE, 0766,

2-9, 1987

2. M. Berek, Grundlagen der praktischen Optik, Walter de Gruyter, Leipzig, 1930

3. H.A. Buchdahl, Optical Aberration Coefficients, Dover, New York, 1968

4. W. T. Welford, Aberrations of Optical Systems, Adam Hilger, Bristol, 1986

5. H.H. Hopkins, “The nature of paraxial approximation”, J. Mod. Opt., 38,

427-472, 1991

6. F. Bociort, “Computer algebra derivation of high-order optical aberration coefficients”, Riaca Technical Report no 7, Amsterdam, 1995, also available at http://www.optica.tn.tudelft.nl/users/bociort/riaca.pdf

7. In the CodeV manual these rays are called R1, R2, R3, R4. CodeV 9.40,

Manual, Optical Research Associates, Pasadena, 2004

Cytaty

Powiązane dokumenty

Bardzo prawdopodobne jest również i to, że w przypadku kobiet anonimowość świata wirtualnego może być szansą na spełnienie swoich pragnień, co do których obawiają

P ierw szy n osi tytuł: Rozumieć rze­ czywistość (ss. Trzon tomu stanow ią zagadnienia klasycznej m etafizyki {Rozumienie rzeczywistości, Struktura bytu, Ku

Figure 5a shows how the curvatures behave and Figure 5b shows the behavior of the 6 th order aspheres (See Eq. Note that the quasi-invariant results presented here correspond to

between 114 and 118 mm, a numerical aperture of 0.25, a magnification of 0.25, distortion below 1 nm and all incidence angles on the surfaces below 25û.. The Strehl ratio is 0.995

This final shape of the flexible appendage is used in the subsequent calculation ofthe steady flow potential and its first and second derivatives using a Kelvin wave-making

When extreme ultraviolet 共EUV兲 mirror systems having sev- eral high-order aspheric surfaces are optimized, the configurations sometimes enter into highly unstable regions of

Autor w swym opracowaniu starał się odpowiedzieć n a pytania: jaki odsetek grup zawodowych uznawanych za inteligenckie stanowili synowie chłopscy, z ja- kich terenów się

The colour of the circles corresponds to: (left pane) the mean cross-track error, a bias of similar size is expected in the estimated road and lane positions, and (right pane)