• Nie Znaleziono Wyników

IC Compatible Wafer Level Fabrication of Silicon Nanowire Field Effect Transistors for Biosensing Applications

N/A
N/A
Protected

Academic year: 2021

Share "IC Compatible Wafer Level Fabrication of Silicon Nanowire Field Effect Transistors for Biosensing Applications"

Copied!
193
0
0

Pełen tekst

(1)

IC Compatible Wafer Level Fabrication of 

Silicon Nanowire Field Effect Transistors 

for Biosensing Applications 

PROEFSCHRIFT

ter verkrijging van de graad van doctor

aan de Technische Universiteit Delft,

op gezag van de Rector Magnificus Prof. ir. K.C.A.M. Luyben,

voorzitter van het College voor Promoties, in het openbaar te

verdedigen op maandag 9 december 2013 om 15:00 uur

door

Thomas MOH Shan Yau

Master of Science

École Normale Supérieure de Cachan, Paris, France

(2)

Prof. dr. P.M. Sarro Prof. dr. E.J.R. Sudhölter

Copromotor Dr. ir. L.C.P.M de Smet Samenstelling promotiecommissie:

Rector Magnificus, voorzitter

Prof. dr. P.M. Sarro, Technische Universiteit Delft, promotor Prof. dr. E.J.R. Sudhölter, Technische Universiteit Delft, promotor Dr. ir. L.C.P.M de Smet, Technische Universiteit Delft, copromotor Prof. dr. C.J.M van Rijn, Wageningen University

Prof. dr. S. Carrara, École Polytechnique Fédérale de Lausanne Prof. dr. P.J. French, Technische Universiteit Delft

Dr. ir. J.H. Klootwijk, Philips Eindhoven

Prof.dr. G.Q. Zhang, Technische Universiteit Delft, reservelid

The research presented in this Thesis was financially supported by the Dutch Technology Foundation STW, which is part of the Netherlands Organisation for Scientific Research (NWO) and partly funded by the ministry of Economic Affairs (Project 10255). The works done are also in collaboration with Delft Institute of Microsystems and Nanoelectronics-DIMES, Faculty of Electrical, Mathematics and Computer Science (EWI-ECTM) and Faculty of Applied Science (ChemE-OMI)

ISBN: 978-90-5335-773-6

Copyright © 2013 by Thomas Moh Shan Yau

All rights reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted in any form or by any means without the prior written permission of the copyright owner.

Design of the cover page by Ridderprint BV.

(3)

To my late father, my loving mother

and specially to my beloved wife, Elizabeth Tang

Before everything else,

getting ready is the secret to success.

― Henry Ford

(4)
(5)

i

TABLE OF CONTENTS

1 INTRODUCTION

1.1 Scope And Objectives ……….... 2

1.2 Materials For Nws ………. 2

1.3 Silicon Nanowires (Sinws) Field Effect Transistors (Fets) For Bio/Chemical Sensing 4 1.3.1 The Importance Of Nano-Scale Devices ………. 4

1.3.2 NW Based Biosensors: Principle Of Operation ………….. 5

1.3.3 SiNW FET ……….. 7

1.4 Sensitivity Of Sinw Fets Sensor ………... 8

1.5 Applications Of Sinw Fets In Bio/Chemical Sensing ……… 10

1.5.1 Protein To Protein ……….. 10 1.5.2 DNA Detection ……….. 12 1.5.3 Virus Detection ………. 13 1.5.4 Bioelectricity Recordings ……… 15 1.5.5 Other Applications ………. 17 1.6 Thesis Outline ……… 18 1.7 References ……….. 20

2 TOP DOWN AND BOTTOM UP 2.1 The Growth Of Nanowires (Bottom Up Approaches) ……… 28

2.1.1 Vapor Liquid Solid (VLS) ………. 28

2.1.2 Non-Catalytic Growth: Vapor Solid (VS) ………. 31

2.1.3 Template-Based Growth ……… 32

2.1.4 Growth From Solution ………. 35

2.2 Top-Down Approaches ……… 35

(6)

ii

2.2.4 Deposition And Etching Under Angle (DEA) ………. 40

2.2.5 Crystalline Plane-Dependent Etching ……… 44

2.3 Hybrid Approaches ……… 46

2.3.1 Orderly Growth via Lithography ……….. 46

2.3.2 Orderly Growth via Nanosphere Layers ………. 48

2.3.3 Orderly Growth via Electrodeposition ………. 49

2.4 Conclusion ……….. 50

2.5 References ……….. 51

3 FABRICATION OF SILICON NANOWIRE FIELD EFFECT TRANSISTORS (FETs) 3.1 Direct Patterning ………. 58 3.1.1 The Mask ……….. 59 3.1.2 Fabrication ……….. 60 3.1.3 Results ……… 62 3.1.4 From Nanosheets to NWs ………. 62

3.1.4.1 Best Focus Patterning/Exposure ……… 63

3.1.4.2 Results and Discussion ……….. 64

3.2 Definition by Wet Etching ……… 67

3.2.1 Plane Dependent Etching (PDE)………. 67

3.2.2 Fabrication ……….. 69

3.2.3 Results and Discussion ……… 71

3.2.4 Process Optimization ……… 74

3.3 Definition by Material Deposition ……….. 78

3.3.1 Spacer Material Selection ………. 78

3.3.2 Fabrication ……….. 79

3.3.3 Results and Discussion ……… 81

3.4 SiNW FET array Layout and SiNWs Doping ……….. 85

3.4.1 Layout ………. 86

(7)

iii

3.5 Conclusion ……… 89

3.5 References ……….. 90

4 ELECTRICAL CHARACTERIZATION OF SINW FETs 4.1 SiNW FETs versus Inversion-mode MOSFET Devices ………. 94

4.2 Device Simulation ……….. 96

4.3 Electrical Characteristics of SiNW FET ………. 99

4.3.1 Measurement Setup and Results ………. 99

4.3.2 Discussion ………. 103

4.4 Electrical Contact Characterization ……… 104

4.4.1 `` Ohmic Contact ……….. 104

4.4.2 Contact Resistance Measurement ………. 105

4.4.3 Results and Discussion ……… 106

4.5 Noise Analysis ……… 109

4.5.1 Device and Experimental Setup ……… 110

4.5.2 Results and Discussion ……… 113

4.5.2.1 Low Frequency Noise, 1/f at linear Operation ……… 113 4.5.2.2 Discussion ……… 118

4.6 Conclusion ……… 119

4.7 References ……….. 120

5 APPLICATION OF SILICON NANOWIRE FETS IN AQUEOUS ENVIRONMENT 5.1 Measurement Setup ………. 124

5.2 pH Measurement ……… 125

5.2.1 Introduction ……… 127

5.2.2 Experimental Procedures: pH Measurement ………. 128

(8)

iv

5.3.1 Introduction ……… 133

5.3.2 Experimental Procedures: Layer by layer (LbL) Deposition ……… 134 5.3.3 Results and Discussion ……… 138

5.4 Preliminary Electrical Response of SiNW FETs to Influenza A Virus 5.4.1 Introduction ……… 140

5.4.2 Experimental Procedures: Influenza A Virus ……… 141

5.4.3 Results and Discussion ……… 144

5.5 Conclusion ……… 148

5.6 References ……….. 149

6 CONCLUSIONS AND FUTURE WORK 6.1 Conclusions ………. 154

6.2 Future Work ……… 156

6.3 References ……….. 159

APPENDIXES Appendix A : Full Process Flow (Chapter 3) ……… 161

Appendix B : Influenza Antibody Area Coverage Estimation (Chapter 5) 167

SUMMARY 171

SAMENVATTING 175

LIST OF PUBLICATIONS 179

ACKNOWLEDGEMENTS 181

(9)

Chapter 1

Introduction

Size is an important parameter to describe an object as unique and distinct properties are connected to it. This is especially true for nanoscale structures (small and tiny structures with at least one of its dimensions in the nanoscale (10-9 m) range). Nanowires (NWs) are extremely small wires of which the cross-section exists in various shapes such as square, rod, triangle and trapezoid. They typically have aspect ratios of length to width in the order of 1000 or more. Therefore, these materials are often referred to as one-dimensional (1D) materials. NWs have distinct and diverse electrical, physical and mechanical properties that are not seen in the corresponding bulk material [1, 2]. These properties can be translated into specific functionalities in, e.g. sensing applications or in integrated circuits and electronic devices, such as Field Effect Transistors (FETs) [3-6]. This thesis mainly focuses on the design and fabrication of high quality and reproducible nanowire-based sensor chips usingwafer level processing, aiming at biosensing applications such as the detection

(10)

2

1.1 SCOPE AND OBJECTIVES

Labelling technology is used in conventional bio-detection methods or fundamental biological studies. However, it has some drawbacks, such as the lengthy procedure of labelling and the risk of alteration of characteristic after labelling [7]. To circumvent these problems, rapid, real-time and label-free detection mechanisms such as Surface Plasma Resonance (SPR) [8], FET based devices (ISFETs [9], SiNWs [10], carbon nanotubes (CNTs) [11] and Nanoelectromechanical (NEM) cantilevers [12]) have been be considered. Among the above-mentioned options, NW-based sensors, due to their ultra-high sensitivity, are profoundly important in detecting species or bio-markers, which are generally present in ultra-low concentrations (down to ng/mL) [13, 14] in the body, are particularly interesting to explore.

Given the small amounts to be detected, signals are very small. To achieve an acceptable signal-to-noise ratio (SNR), it is of paramount importance to keep the noise as low as possible to be able to differentiate between true and false signals. To address this issue, investigations of materials and methods to construct NW-based sensors were carried out bearing in mind the desired high sensitivity towards the detection of bio-species. Novel and suitable fabrication techniques aiming at wafer-level capabilities with high reproducibility, reliability and low-cost manufacturing for low-noise devices are to be explored.

1.2 MATERIALS FOR NWs

Nanowires can be made from metallic, dielectric, composite and semiconductor materials. Table 1.1 shows a summary of available materials for NWs as reported in

(11)

3 literature and the main applications they have been investigated for. Metallic NWs are conducting at all times and are mainly tapped for the applications in fuel cell, gas sensing and as electrodes due to the large surface area-to-surface ratio [15-18]. Although dielectric and composite NWs are not conducting in nature, they are compatible for photonics and gas sensing due to the material sensitivity to specific gasses [19-22].

Table 1.1: Examples of material available for NWs and its applications.

Category Material Application References

Metal Au, Ag, Pt, Pd Gas sensing,

Electrode, Fuel cell

[15-18] Dielectric ZnO, TiO2, SnO2 Gas sensing,

Electrode

[19-22] Composite/

Compound material

GaN, GaP, InAs, InAs, CdS, ZnSe, PbTe, PbSe

Fuel cell, Gas sensing, Photonics

[17, 18, 23-26]

Semiconductor Si, Ge, Si-Ge Transistors, Biosensors, Nanophotonics

[5, 27-30]

For the purpose of bio- or chemical related sensing applications in solution, semiconductor materials, mainly silicon, are used. This is due to the electronic property of semiconductor materials that can be easily tuned via doping and applied gate voltages and thus may lead to higher sensitivities. Considering the continuous advancement in silicon-based fabrication technology and progress in the electronics field in general, silicon is a very attractive material for NW-based sensors. As we can take advantage of the reproducibility and stability characteristics of silicon microfabrication, production of robust and reliable Si NWs can be achieved.

(12)

4

1.3 SILICON NANOWIRES (SiNWs) FIELD EFFECT TRANSISTORS (FETs) FOR BIO/CHEMICAL SENSING

1.3.1 The importance of nano-scale devices

The downsizing of silicon electronic components from the micro- to the nano-scale has steadily progressed in the past decades and nanoelectronics, bionanosensors and nanophotonics have tremendously benefitted from it [31-33]. Why do we need nano-scale devices for biosensor development? The answer lies in the dimension-related phenomena that raise the sensitivity of the sensor ( due to the high-surface-to-volume ratio) [6] and in combination with the range of methods for surface functionalizations (especially for silicon-based devices), these feature offer an ideal platform for highly sensitive yet selective (bio)sensors.

A NW-based device with at least one of its dimensions in the 1-100nm range has a high-surface-to-volume ratio. This provides a large interaction area for a small footprint (for increased response) and confines charge carriers in a very thin (almost one-dimensional) structure. Therefore, changes generated by the interaction of the bio-species at the NW surface are more significant, can be detected with higher sensitivity for small concentration and higher output resolution or signals. This offers ultrahigh sensitivity detection possibilities for very low concentrations of a sample and at the same time providing label-free, fast and real-time detection, which is not available in corresponding micro-scale sensing devices. Besides possessing a high-surface-to-volume, NWs are also relatively comparable in size to the species of interest such as proteins, cells, viruses and other biological entities, making NWs an ideal platform or interface between the biological samples

(13)

5 and the scientific instruments as touching, relocating and transferring are made possible. Figure 1.1 illustrates a scale bar where several nano-sized materials are compared to the size of biological species or entities such as proteins, viruses and cells [34].

Figure 1.1: Scale bar comparing the size of nanodevices and elements to the size of biological entities of interest for NW based sensors [34].

1.3.2 NW based Biosensors: Principle of operation

Understanding the working principles of NW-based sensors is important to appreciate their potential and try to overcome their limitations. Binding of specific species or ligands onto the chemically modified surface of a NW will alter the electrical charges inside the NW, thus changing its conductance [35]. Furthermore, transducing the signal change can be divided into two schemes: the resistivity and the Field Effect Transistor (FET) scheme, as schematically depicted in Figure 1.3. The resistivity scheme applies mostly to the metallic NW-based sensors (Fig. 1.3(a)), while

0.1 1 10 100 1000 105

nm

Atom

Molecule CNT Proteins Nanowires Viruses Cells

s an d Q uantum Nucleic

(14)

6

(a) (b (i)

(ii)

the FET scheme is used in semiconductor NW-based sensing devices (Fig. 1.3(b)). In the resistivity scheme the metallic NWs act as metal resistors and any changes (e.g. the binding of targeted species which is either positively or negatively charged) on their surface will alter the conductance inside the NWs which is either p-type or n-type. Depending on the polarity of the targeted species, these charged molecules will increase or decrease the signal relatively to the previously measured signal (Figure 1.3(a)). Therefore, a signal change indicates the presence of targeted species. It should be noted that no extra biasing is required in operating these devices.

Figure 1.3: A schematic overview of metallic resistivity NWs and semiconductor FET NWs sensing principles. (a) Resistivity NW: A ligand binding to a receptor will change the conductance inside the NW and no biasing is required. (b) A p-type NW FET device biased to operate at the optimum sensitivity regime: (i) A positively charged ligand will deplete the NW (p-type) resulting in a decrease of the conductance; (ii) Contrary, a negatively charged ligand will accumulate the NW and an increase of conductance is expected. D=drain and S=source. (adapted from ref. [35, 36]).

(15)

7 The field effect transistor (FET) is a three-terminal, voltage-controlled device, where the current flow between two identical, highly conducting terminals is controlled by the third terminal. These highly conducting terminals (p or n-type) are called the source and drain and the third terminal is called the gate. The FET operates by controlling the effect of an electrical field on the majority charge carriers present in the semiconductor material between source and drain, i.e. the channel of the device. By placing an insulating material such as an oxide between the gate terminal and channel a metal-oxide-semiconductor FET (MOSFET) device is made. The voltage applied to the gate terminal will either attract or repel the majority charge carriers inside the channel.

1.3.3. SiNW FET

For a SiNW FET, the channel is the SiNW itself. Therefore, the SiNW and thus the whole channel, is isolated from the substrate by a layer of oxide, which is also known as Buried Oxide Layer (BOX). A typical configuration of a SiNW FET sensor consists of either boron (p-type) or phosphorous (n-type) doped NWs (single or array) between two metallic contacts or highly doped silicon regions (the source, S and the drain, D) is indicated in Figure 1.4 together with a typical biasing configuration. In this Figure the channel conductance is biased by the application of a back-gate voltage (applied to the back side of the BOX layer). In Chapter 4 also, a configuration in which the channel conductance is biased by the application of front-gate voltage will be discussed.

(16)

8

(a) (b) Vbg

Vds

Figure 1.4: (a) Schematic top view drawing showing a typical structure of a SiNW FET sensor. (b) A typical back gate biasing configuration for a SiNW FET is shown.

SiNW FETs devices have been reported to operate at different back gate voltage biasing regimes, i.e. negative, zero and positive gate voltages [36]. The highest sensitivity regime for low-frequency and small-signal detection is the region between accumulation and depletion of majority charge carriers inside SiNW FETs as explained by Hien et al. [36]. Compared to the metallic resistor NWs scheme (no biasing), the ability to bias the SiNW FET device to a sensitive operating regime will also increase the sensitivity for detection of the desired species.

1.4 SENSITIVITY OF SINW FETS SENSOR

Sensitivity is often defined as the change of current or channel conductance as a function of the concentration of the charged species to be detected in the relevant concentration range. [35, 38, 39]. Nair et al. and Alireza Kargar et al. reported sensitivity analysis via a mathematical approach and simulation of SiNW FETs sensors focusing on different geometry [40, 41]. Similarly, Niklas Elfstrom et al. investigated the connection between sensitivity and width and thickness of the NW FETs devices [28, 42].

(17)

9 Table 1.2: Type of Dopant and concentration according to the fabrication techniques used for SiNW FETs

*Exact value not available

As the principle of detection lies in the changes of the current or conductance inside the NWs, next to the geometry, the doping level and doping type are also very important. Dopants such as boron or phosphorous are used to modulate the electrical properties. Nair et al. [41] studied the doping effects on the sensitivity. Lower doping concentrations (1016 - 1017 cm-3) will increase the sensitivity of SiNW FET devices and higher doping levels (>1019 cm-3) will result in semiconductor NWs acting as metallic NWs [36]. Table 1.2 summarizes the doping level on Si NWs that

First Author

Fabrication techniques

SiNW Dopant Type and

Dopant Concentration (cm-3) Reference(s) F. Patolsky CVD-VLS p-type, Boron,

1:4000 of B/Si ratio

[35, 43] Y. Cui Laser ablation-VLS p-type & n-Type, Boron and

Phosphorous doped *

[10, 44-46] G.B. Kang Stepper + size

reduction

p-type, Boron, 1018 [47] X.T. Vu Nanoimprint p-type, Boron, 1014 [48] Z. Q. Gao Oxidation p-type, Boron, ~1016 [27] J. Martinez AFM oxidation n-type, Phosphorus, 1018 [49] N. Elfstrom E-Beam

Lithography

p-type, Boron, 1014 [42] E. Stern E-Beam

Lithography

p-type, Boron and As doped, ~1015

[50, 51] G. Pennelli Lateral Oxidation Spin on dopant, p-type

and n-Type *

[52] H.D. Tong DEA p-type, Boron, 1016-18 [36] S.Y. Chen Anisotropic {111} p-type, Boron, 1017 [53] T.S.Y. Moh Anisotropic {100} p-type, Boron, 1016-17 [54]

(18)

10

has been studied and reported in literature for biosensing purposes. From this overview it is clear that the doping concentration in the NW (channel) should be low (less than 10 18 cm-3).

1.5 APPLICATIONS OF SINW FETS IN BIO/CHEMICAL SENSING

This section will review the main applications of SiNW FETs in bio/chemical sensing.

1.5.1 Protein to protein

Label-free and real-time protein detection using SiNW FETs has been demonstrated by various groups. Cui et al. reported a pico-Molar concentration range of streptavidin binding to biotin-modified SiNW FETs [10] as shown in Figure 1.5. In addition to biotin-streptavidin binding and alongside with the main line of ligand-receptor binding concept, further applications have been explored such as in biomarker detection for cancer diagnosis (for example prostate specific antigen (PSA) [39], acute myocardial infarction [55]) and protein or small molecules studies for drug screening purpose [56].

Classically, a biomarker is an iconic indicator representative of the state of an organism or organ. In clinical diagnostics, biomarker detection can be applied to disease screening which indicate a presence or absence of a particular disease in a particular specimen. These biomarkers in general are present in the blood stream, typically in very low concentrations. Zheng et al. utilized SiNW FETs arrays for pg/mL concentration detection of multiple biomarkers involving prostate specific antigen (PSA), carcinoembryonic antigen (CEA) and mucin-1(tumor) detection [39]

(19)

11

(a) (b)

using clusters of SiNW arrays. Chua et al. reported on the successful detection of fg/mL concentration of human cardiac troponin-T (cTnT) markers [57], while cardiac troponin (cTnI) in the order of ng/mL concentration was reported by Kong et al. [55].

Figure 1.5: Real-time binding of streptavidin on SiNW. (a) Unmodified SiNW: Conductance plot for sequences of event (1) buffer solution, (2) addition of 250nM streptavidin. (b) Biotin-modified SiNW: conductance plot with sequence (1) and (2) similar to (a) and sequence (3) refers to pure buffer solution [10].

Within the framework of lab-on-a-chip, microfluidic chips offer the possibility of pre-purifying and changing the buffer salt concentration before flowing to SiNW FETs as demonstrated by Stern et al [50], providing a detection limit in the concentration range of ng/mL. Although SiNW FETs provide a remarkably ultra-low concentration detection limit, challenges remain in detecting directly from the patient’s blood sample without any further pretreatment on a chip level where various problems such as non-specific binding, high salt concentration and low/short ionic strength in the blood sample could decrease the performance of SiNW FETs sensor [51, 58].

(20)

12

1.5.2 DNA Detection

The detection of nucleic acids using SiNW FETs has been reported by many research groups [59-62]. SiNW FETs are a suitable interface or platform for monitoring the detection and hybridization of nucleic acids due to the large portion of negative charges in the backbones of DNA or RNA. Any binding or mismatch binding of either single-stranded or double-stranded DNA/RNA as well as hybridization will cause depletion or accumulation on the SiNW resulting in current or conductance changes as shown in Figure 1.6.

Figure 1.6: Conductance versus time in real-time response of a Peptide Nucleic Acid (PNA) modified SiNW upon injection of DNA sample. Sequences numbering showing (1) DNA-free solution, (2) 100 fM Mutant (MU) DNA, (3) DNA-free solution. The arrow indicates the time when the DNA sample was added. Inset: Scanning Electron Microscope (SEM) image of a SiNW device with scale bar of 1 µm [60].

The high concentration of electrolyte at room temperature that is required for optimum hybridization of DNAs slightly diminishes the performance of SiNW FETs [34, 63]. As such, Peptide Nucleic Acid (PNA) polymer –which has no phosphate

(21)

13 groups in its backbone– is more suitable to be used for binding and hybridization at low electrolyte concentration. Due to the absence of charge in its backbone, less electrostatic repulsion will be encountered making PNA easier to bind to target DNA, which is desirable in SiNW FETs sensing. Besides, a higher output signal change between PNA/DNA and PNA/RNA hybridization as compared to DNA/DNA and RNA/RNA making PNA more suitable to be used [27, 34, 58].

Hahm and Gao et al. reported hybridization between DNA- and PNA-modified SiNW FETs [27, 60]. Li et al. show comparable detectable concentration range using DNA/DNA in pure water for both p-type and n-type SiNW FETs [61]. Bunimovich et al. showed the importance of oxide-free SiNW FETs for enhanced sensitivity of DNA detection (2 orders of magnitude as compared to SiNW covered with native oxide) [64]. Lately, Gao et al. revealed a systematic and optimum measurement region of SiNW FETs to obtain ultra-high sensitivity [62]. Typical sensitivity reported from DNA/DNA or PNA/DNA hybridization detection is in the range of picomolar to sub-femtomolar concentration [27, 60-62].

1.5.3 Virus Detection

Viral monitoring or virus detection is of increasing concern especially for homeland security due to bio terrorism or warfare and human disease or pandemic infection. One of the main problems in virus detection –especially in hospital– is the relatively long time required for detection of respiratory viruses (4-5 days) starting from the arrival of a sample in the laboratory to the results as reported in United States [65] and Hong Kong [66]. This causes delay in appropriate treatment, on-site pandemic

(22)

14

(a

(b

control and increase in mortality and costs. Virus detection using SiNW FETs may offer solution to this point with faster response and the possibility of miniaturized sensor for on-site use.

Patolsky et al. reported on using SiNW FETs for single virus particle detection of Influenza A with real time real-time electrical detection (Figure 1.7) [35]. This study demonstrates a rapid test result (in minutes), real-time detection and less sample manipulation beforehand by using SiNW FET. This approach performs clearly better compared to commonly used technique such as Polymerase Chain Reaction, PCR-based testing of viral nucleic acids [67] and plaque assay [68]. Discrete and specific binding of Influenza A virus (solution of 100 virus particles per µl) on SiNW FETs corresponding to conductance changes was observed. In this case, specific probe molecules which guarantee only binding between probe and target molecules are used.

Figure 1.7: Real-time Influenza A virus detection using a single SiNW FET. (a) Optical fluorescence showing the Influenza A virus binding to SiNW. (b) Conductance measured corresponding to sequence of events in (a) showing a decrease in conductance measured upon binding of virus on the SiNW [35].

(23)

15 Other example includes reusable SiNW FETs due to reversible surface functionalization to detect H5N2 Avian Influenza Virus (AIV) in very dilute solution (concentration: 10-12 – 10-17 M) has been reported by Chiang et al. [69].To conclude this paragraph, a recent successful integration of SiNWs sensor with reverse-transcription-polymerase chain reaction (RT-PCR) yielded the detection of below 10 fM concentration for Dengue virus detection using SiNW FETs sensor has been reported by Zhang et al. [70].

1.5.4 Bioelectricity Recordings

In extracellular cell recording, various methods such as patch-clamp, planar electrodes, planar FETs, suspended SiNWs and potentiometric sensors have been reported [71]. In a conventional planar FET scheme, a high signal-to-noise ratio (SNR) is reported due to the floating gate configuration [72]. However, Lind et al. [73] demonstrated through finite element simulation that in extracellular recordings of cells, groove-like (3D) topology yields a significant improvement in terms of the signals measured, compared to planar or flat surface methodology. This indicates the suitability of SiNW FETs for this purpose. This finding was seconded by Breckenridge et al. [74].

SiNW FETs have been used for neuron [75], neuron mapping [76], heart [38, 77] and cell such as cardiomyoctyte [43, 78] studies and action potential recording [78]. Patolsky et al. have shown a hybrid SiNW FET arrays integrated with axons or dendrites that are able to record electrical signals from the neuron [75]. In most of these experiments, the neurons are adhered to the SiNW via patterned poly-lysine

(24)

16

and subsequently cultured over time across the whole SiNW (array or single) to get a good contact between them. A high signal-to-noise ratio has been demonstrated by Pui et al. [77] in electrocardiogram/bioelectricity recordings of live beating heart, cardiomyoctyte and muscle cells when spiking due to heart beating rhythm was observed (Figure 1.8) while applying small fixed voltage.

Figure 1.8: Bioelectrical recording from a live rat beating heart. (a) Live heart in the recording chamber of a wire-bonded SiNW Chip. (b) Responses measured by SiNW with typical transient current measured on the right-hand side. (c) Reference signal showing a similar pattern as in (b) [77].

Most of the currently discussed SiNW FETs devices are non-movable and bendable, thus cell recordings are primarily done depending on position of the cell being cultured on top of the device. However, selective and localized recording is not possible with this scheme. Tian et al. first reported a solution to overcome this barrier with a movable 3D nano-FET which is capable of recording signal at the cell membrane or inside of a cell [79] by fabricating the structure protruding out from the plane as shown in Figure 1.9.

(25)

17 (a)

(b)

(c)

Figure 1.9: A bendable 3D SiNW FET bio-probe. (a) Schematic drawing of SiNW FET probe. (b) SEM image showing the fabricated SiNW FET device. Yellow arrow marks the bio-probe. Scale bar: 5 µm. (c) Optical microscope images (top) and corresponding electrical recording (bottom) of fabricated SiNW FET probe: (I) approaching the cell, (II) contacting and measuring interior of the cell and (III) retracting from the cell [79].

1.5.5 Other Applications

Apart from applications in biology, SiNWs FET also have been reported to be used as a piezoresistive-based pressure sensor [80-83]. Pavel et al. reported the construction of SiNW FET at the base of a cantilever. By modulating the whole structure with an electric field, a giant piezoresistive effect was observed with two orders of magnitude increase compared to the bulk value [84], thus, enhancing the sensitivity of the

(26)

18

piezoresistive pressure sensor. It is noted that not all applications require the FET effect, for example grown bundles of SiNWs are also reported to be used in fuel cell and solar cell applications [85-88].Chan et al. reported performance improvement in lithium-ion batteries that uses a stainless steel anode covered with SiNWs for faster charging and discharging and size reduction of the battery [88]. SiNWs offer also new possibilities in solar energy conversion as reported by Peng et al [86], owning to the large surface area and strong light absorption with efficient charge separation [85]. Furthermore, SiNWs also have been reported for possible integrated nanophotonics application [31, 89, 90].

1.6 THESIS OUTLINE

The research presented in this thesis aims at exploring different definition method using CMOS-compatible micro fabrication techniques to fabricate silicon NW FETs. In this way easy integration of sensitive and selective detection of biological samples can be achieved. In Chapter 1, first, the most important requirements on NW devices with respect to biosensing applications (e.g. doping, dimensions and etc) are identified. Next, the reasoning followed in choosing the suitable materials, principles of operation and underlay reasons of scaling down to nano dimension for biosensing purposes are summarized. Finally, a compact review on the application of SiNW FETs as biosensor is provided.

In Chapter 2, recent developments in NWs fabrication, based on two different approaches, bottom up and top down are presented. We mainly consider

(27)

19 technological aspects and answer the “how to” questions that is concurring to the goals and objectives of having high quality and reproducible SiNW FETs on a wafer-level processing. This chapter strikes to understand the advantages of both approaches and addresses the suitability of various technologies for making SiNW FETs.

Chapter 3 introduces the process or technology innovation in term of methods/techniques used for fabricating top-down SiNW FETs. The underlying idea behind all the explored processes is to rely on conventional microfabrication technology, excluding the use of nanolithography such as Extreme Ultra Violet (EUV) and electron-beam lithography. Three different approaches were pursued to obtain the NWs: optimization of optical parameters in the I-line wafer stepper machine (direct patterning), definition by wet etching (“shrinking from the big ones”) definition by material deposition (spacer technology). The electrical characterization of the fabricated SiNWs devices is addressed in Chapter 4. A simple 3D simulation using SPECTRA [Link Research Corporation, Japan] is developed to illustrate the operation principle of a single SiNW FET with back-gate configuration. This chapter also highlights why SiNW FETs are suitable for sensing application. Finally, the device noise level and detection limit were investigated with respect to the different fabrication processes used.

In Chapter 5 results related to the use of SiNW FETs (single and array) for pH measurement, opposite charged polymers and preliminary influenza detection. are reportedFinally, conclusions are drawn in Chapter 6, together with recommendations for future work.

(28)

20

1.7 REFERENCES

[1] C. M. Lieber, "One-dimensional nanostructures: Chemistry, physics & applications," Solid State Communications, vol. 107, pp. 607-616, 1998. [2] L. Samuelson, et al., "Semiconductor nanowires for 0D and 1D physics and

applications," Physica E-Low-Dimensional Systems & Nanostructures, vol. 25, pp. 313-318, 2004.

[3] M. J. Kumar, et al., "Special issue on nanowire transistors: Modeling, device design, and technology," IEEE Transactions on Electron Devices, vol. 55, pp. 2813-2819, 2008.

[4] R. H. Dennard, "Technology Challenges For Ultrasmall Silicon Mosfet's,"

Journal of vacuum science & technology, vol. 19, pp. 537-539, 1981.

[5] C. M. Lieber, "Nanowires as Building Blocks for Nanoelectronics and

Nanophotonics," in Technical Digest - International Electron Devices Meeting, Washington, DC, 2003, pp. 300-302.

[6] C. M. Lieber, "Nanoscale science and technology: Building a big future from small things," MRS Bulletin, vol. 28, pp. 486-491, 2003.

[7] J. S. Daniels and N. Pourmand, "Label-free impedance biosensors:

Opportunities and challenges," Electroanalysis, vol. 19, pp. 1239-1257, 2007. [8] M. Buckle, et al., "Real time measurements of elongation by a reverse

transcriptase using surface plasmon resonance," Proceedings of the National

Academy of Sciences of the United States of America, vol. 93, pp. 889-894,

1996.

[9] P. Bergveld and N. F. de Rooij, "From conventional membrane electrodes to ion-sensitive field-effect transistors," Medical and Biological Engineering and

Computing, vol. 17, pp. 647-654, 1979.

[10] Y. Cui, et al., "Nanowire nanosensors for highly sensitive and selective detection of biological and chemical species," Science, vol. 293, pp. 1289-1292, 2001.

[11] K. Maehashi and K. Matsumoto, "Label-free electrical detection using carbon nanotube-based biosensors," Sensors, vol. 9, pp. 5368-5378, 2009.

[12] Y. Lee, et al., "Label-Free Detection of a Biomarker with Piezoelectric Micro Cantilever Based on Mass Micro Balancing," JALA - Journal of the Association

for Laboratory Automation, vol. 13, pp. 259-264, 2008.

[13] F. S. Apple, et al., "Future biomarkers for detection of ischemia and risk stratification in acute coronary syndrome," Clinical Chemistry, vol. 51, pp. 810-824, May 2005.

(29)

21 facilitates the rapid diagnosis and management of chest pain patients in the emergency department," American Journal of Clinical Pathology, vol. 129, pp. 788-795, May 2008.

[15] D. Kim, et al., "Ultra-sensitive, low-power and flexible H 2S sensors based on palladium nanoparticle-coated metal oxide nanowires," Paris, 2012, pp. 1372-1375.

[16] H. Kim, et al., "Fabrication and CO gas-sensing properties of Pt-functionalized Ga 2O 3 nanowires," Ceramics International, vol. 38, pp. 3563-3567, 2012. [17] F. Miao, et al., "Preparation and electrochemistry of Pd-Ni/Si nanowire

nanocomposite catalytic anode for direct ethanol fuel cell," Dalton

Transactions, vol. 41, pp. 5055-5059, 2012.

[18] W. Shimizu, et al., "Platinum nanowire network with silica nanoparticle spacers for use as an oxygen reduction catalyst," Journal of Power Sources, vol. 205, pp. 24-31, 2012.

[19] Z. Ye, et al., "Template-based fabrication of nanowire-nanotube hybrid arrays," Nanotechnology, vol. 19, 2008.

[20] R. F. Zhuo, et al., "Morphology-controlled synthesis, growth mechanism, optical and microwave absorption properties of ZnO nanocombs," Journal of

Physics D: Applied Physics, vol. 41, 2008.

[21] H. J. Fan, et al., "Well-ordered ZnO nanowire arrays on GaN substrate fabricated via nanosphere lithography," Journal of Crystal Growth, vol. 287, pp. 34-38, 2006.

[22] V. Kumar, et al., "Copper doped SnO2 nanowires as highly sensitive H2S gas sensor," Sensors and Actuators, B: Chemical, vol. 138, pp. 587-590, 2009. [23] Y. Huang, et al., "Gallium Nitride Nanowire Nanodevices," Nano Letters, vol.

2, pp. 101-104, 2002.

[24] S. K. Lee, et al., "Gallium nitride nanowires with a metal initiated metal-organic chemical vapor deposition (MOCVD) approach," Physica Status Solidi

B-Basic Research, vol. 241, pp. 2775-2778, 2004.

[25] P. Offermans, et al., "Gas Detection with Vertical InAs Nanowire Arrays,"

Nano Letters, vol. 10, pp. 2412-2415, 2010.

[26] Y. Huang and C. M. Lieber, "Integrated nanoscale electronics and optoelectronics: Exploring nanoscale science and technology through semiconductor nanowires," Pure and Applied Chemistry, vol. 76, pp. 2051-2068, 2004.

[27] Z. Gao, et al., "Silicon nanowire arrays for label-free detection of DNA,"

(30)

22

[28] N. E. a. J. Linnros, "Sensitivity of silicon nanowires in biosensor applications,"

Journal of Physics, vol. 100, 2008.

[29] R. Agarwal and C. M. Lieber, "Semiconductor nanowires: Optics and

optoelectronics," Applied Physics A: Materials Science and Processing, vol. 85, pp. 209-215, 2006.

[30] Y. Huang, et al., "Nanowires for integrated multicolor nanophotonics," Small, vol. 1, pp. 142-147, 2005.

[31] P. Yang, et al., "Semiconductor nanowire: Whats Next?," Nano Letters, vol. 10, pp. 1529-1536.

[32] X. Duan and C. M. Lieber, "General synthesis of compound semiconductor nanowires," Advanced Materials, vol. 12, pp. 298-302, 2000.

[33] H. J. Fan, et al., "Semiconductor nanowires: From self-organization to patterned growth," Small, vol. 2, pp. 700-717, 2006.

[34] M. Curreli, et al., "Real-time, label-free detection of biological entities using nanowire-based FETs," IEEE Transactions on Nanotechnology, vol. 7, pp. 651-667, 2008.

[35] F. Patolsky, et al., "Electrical detection of single viruses," Proceedings of the

National Academy of Sciences of the United States of America, vol. 101, pp.

14017-14022, 2004.

[36] H. D. Tong, et al., "Novel top-down wafer-scale fabrication of single crystal silicon nanowires," Nano Letters, vol. 9, pp. 1015-1022, 2009.

[37] D. U. Louis C.P.M. de Smet, Marleen Mescher and Ernst J.R. Sudhölter, "Organic Surface Modification of Silicon Nanowire-Based Sensor Devices," A. Hashim, Ed., ed Croatia: InTech, Rijeka, 2011.

[38] B. P. Timko, et al., "Electrical recording from hearts with flexible nanowire device arrays," Nano letters, vol. 9, pp. 914-918, 2009.

[39] G. Zheng, et al., "Multiplexed electrical detection of cancer markers with nanowire sensor arrays," Nature Biotechnology, vol. 23, pp. 1294-1301, 2005. [40] A. Kargar, "Sensitivity analysis of silicon nanowire chemical sensor," in 2008

8th IEEE Conference on Nanotechnology, IEEE-NANO, Arlington, TX, 2008, pp.

214-217.

[41] P. R. Nair and M. A. Alam, "Design considerations of silicon nanowire biosensors," IEEE Transactions on Electron Devices, vol. 54, pp. 3400-3408, 2007.

[42] R. J. Niklas Elfström, Ilya Sychugov, Torun Engfeldt, Amelie Eriksson

Karlström, and Jan Linnros, "Surface Charge Sensitivity of Silicon Nanowires: Size Dependence," Nano Letters, vol. 7, pp. 2608-2612, 2007.

(31)

23 transistor arrays," Proceedings of the National Academy of Sciences of the

United States of America, vol. 106, pp. 7309-7313, 2009.

[44] Y. Cui, et al., "Doping and electrical transport in silicon nanowires," Journal of

Physical Chemistry B, vol. 104, pp. 5215-5216, 2000.

[45] Y. Cui, et al., "Diameter-controlled synthesis of single-crystal silicon nanowires," Applied Physics Letters, vol. 78, pp. 2214-2216, 2001.

[46] Y. Cui and C. M. Lieber, "Functional nanoscale electronic devices assembled using silicon nanowire building blocks," Science, vol. 291, pp. 851-853, 2001. [47] G. B. Kang, et al., "Fabrication and characterisation of CMOS compatible

silicon nanowire biosensor," Electronics Letters, vol. 44, 2008.

[48] X. T. Vu, et al., "Fabrication and application of silicon nanowire transistor arrays for biomolecular detection," Sensors and Actuators, B: Chemical. [49] J. Martinez, et al., "Silicon nanowire transistors with a channel width of 4 nm

fabricated by atomic force microscope nanolithography," Nano Letters, vol. 8, pp. 3636-3639, 2008.

[50] E. Stern, et al., "Label-free immunodetection with CMOS-compatible semiconducting nanowires," Nature, vol. 445, pp. 519-522, 2007. [51] E. Stern, et al., "Importance of the Debye Screening Length on Nanowire

Field Effect Transistor Sensors," Nano Letters, vol. 7, pp. 3405-3409, 2007. [52] G. Pennelli, "Top down fabrication of long silicon nanowire devices by means

of lateral oxidation," Microelectronic Engineering.

[53] S. Chen, et al., "Top-down fabrication of sub-30 nm monocrystalline silicon nanowires using conventional microfabrication," ACS Nano, vol. 3, pp. 3485-3492, 2009.

[54] T.S.Y. Moh, Y. Maruyama, C. Shen, G. Pandraud, L.C.P.M. de Smet, H.D. Tong, C. van Rijn, E.J.R. Sudhölter and P.M. Sarro., "IC Compatible Top Down

Process for Silicon Nanowire FET Arrays with Three {100} Surfaces for (Bio)chemical Sensing," Proceedings of TRANSDUCERS'11, 2011.

[55] T. Kong, et al., "CMOS-compatible, label-free silicon-nanowire biosensors to detect cardiac troponin I for acute myocardial infarction diagnosis,"

Biosensors and Bioelectronics, vol. 34, pp. 267-272, 2012.

[56] W. U. Wang, et al., "Label-free detection of small-molecule-protein interactions by using nanowire nanosensors," Proceedings of the National

Academy of Sciences of the United States of America, vol. 102, pp.

3208-3212, 2005.

[57] J. H. Chua, et al., "Label-Free Electrical Detection of Cardiac Biomarker with Complementary Metal-Oxide Semiconductor-Compatible Silicon Nanowire

(32)

24

Sensor Arrays," Analytical Chemistry, vol. 81, pp. 6266-6271, 2009/08/01 2009.

[58] K.-I. Chen, et al., "Silicon nanowire field-effect transistor-based biosensors for biomedical diagnosis and cellular recording investigation," Nano Today, vol. 6, pp. 131-154, 2011.

[59] Z. Gao, et al., "Silicon nanowire arrays for ultrasensitive label-free detection of DNA," in TRANSDUCERS and EUROSENSORS '07 - 4th International

Conference on Solid-State Sensors, Actuators and Microsystems, Lyon, 2007,

pp. 2003-2006.

[60] J. I. Hahm and C. M. Lieber, "Direct Ultrasensitive Electrical Detection of DNA and DNA Sequence Variations Using Nanowire Nanosensors," Nano Letters, vol. 4, pp. 51-54, 2004.

[61] Z. Li, et al., "Sequence-Specific Label-Free DNA Sensors Based on Silicon Nanowires," Nano Letters, vol. 4, pp. 245-247, 2004.

[62] A. Gao, et al., "Enhanced sensing of nucleic acids with silicon nanowire field effect transistor biosensors," Nano Letters, vol. 12, pp. 5262-5268, 2012. [63] G.-J. Zhang, et al., "DNA Sensing by Silicon Nanowire: Charge Layer Distance

Dependence," Nano Letters, vol. 8, pp. 1066-1070, 2008/04/01 2008. [64] Y. L. Bunimovich, et al., "Quantitative Real-Time Measurements of DNA

Hybridization with Alkylated Nonoxidized Silicon Nanowires in Electrolyte Solution," Journal of the American Chemical Society, vol. 128, pp. 16323-16331, 2006/12/01 2006.

[65] J. Barenfanger, et al., "Clinical and financial benefits of rapid detection of respiratory viruses: An outcomes study," Journal of Clinical Microbiology, vol. 38, pp. 2824-2828, 2000.

[66] P. C. Y. Woo, et al., "Cost-effectiveness of rapid diagnosis of viral respiratory tract infections in pediatric patients," Journal of Clinical Microbiology, vol. 35, pp. 1579-1581, 1997.

[67] I. M. Mackay, et al., "Real-time PCR in virology," Nucleic Acids Research, vol. 30, pp. 1292-1305, 2002.

[68] R. F. Silva, et al., "A simple immunoperoxidase plaque assay to detect and quantitate Marek's disease virus plaques," Avian Diseases, vol. 41, pp. 528-534, 1997.

[69] P. L. Chiang, et al., "Nanowire transistor-based ultrasensitive virus detection with reversible surface functionalization," Chemistry - An Asian Journal, vol. 7, pp. 2073-2079, 2012.

(33)

25 detection of Dengue virus," Sensors and Actuators, B: Chemical, vol. 146, pp. 138-144, 2010.

[71] A. Poghossian, et al., "Field-effect devices for detecting cellular signals,"

Seminars in Cell and Developmental Biology, vol. 20, pp. 41-48, 2009.

[72] P. Fromherz, "Extracellular recording with transistors and the distribution of ionic conductances in a cell membrane," European Biophysics Journal, vol. 28, pp. 254-258, 1999.

[73] R. Lind, et al., "Finite-element analysis applied to extracellular microelectrode design," Sensors and Actuators: B. Chemical, vol. 3, pp. 23-30, 1991.

[74] L. J. Breckenridge, et al., "Advantages of using microfabricated extracellular electrodes for in vitro neuronal recording," Journal of Neuroscience Research, vol. 42, pp. 266-276, 1995.

[75] F. Patolsky, et al., "Detection, stimulation, and inhibition of neuronal signals with high-density nanowire transistor arrays," Science, vol. 313, pp. 1100-1104, 2006.

[76] Q. Qing, et al., "Nanowire transistor arrays for mapping neural circuits in acute brain slices," Proceedings of the National Academy of Sciences of the

United States of America, vol. 107, pp. 1882-1887, 2010.

[77] T. S. Pui, et al., "CMOS-compatible nanowire sensor arrays for detection of cellular bioelectricity," Small, vol. 5, pp. 208-212, 2009.

[78] J. F. Eschermann, et al., "Action potentials of HL-1 cells recorded with silicon nanowire transistors," Applied Physics Letters, vol. 95, 2009.

[79] B. Tian, et al., "Three-Dimensional, Flexible Nanoscale Field-Effect Transistors as Localized Bioprobes," Science, vol. 329, pp. 830-834, August 13, 2010 2010.

[80] E. Mile, et al., "In-plane nanoelectromechanical resonators based on silicon nanowire piezoresistive detection," Nanotechnology, vol. 21, 2010.

[81] B. W. Soon, et al., "Ultrasensitive nanowire pressure sensor makes its debut," Linz, 2010, pp. 1127-1130.

[82] L. S. Lim, et al., "Design, fabrication and characterization of ultra miniature piezoresistive pressure sensors for medical implants," vol. 254, ed. Suntec, 2011, pp. 94-98.

[83] J. H. Kim, et al., "Fabrication of a piezoresistive pressure sensor for enhancing sensitivity using silicon nanowire," Denver, CO, 2009, pp. 1936-1939.

[84] P. Neuzil, et al., "Electrically controlled giant piezoresistance in silicon nanowires," Nano Letters, vol. 10, pp. 1248-1252, 2010.

[85] L. Tsakalakos, et al., "Silicon nanowire solar cells," Applied Physics Letters, vol. 91, 2007.

(34)

26

[86] K. Q. Peng and S. T. Lee, "Silicon nanowires for photovoltaic solar energy conversion," Advanced Materials, vol. 23, pp. 198-215, 2011.

[87] B. Tian, et al., "Coaxial silicon nanowires as solar cells and nanoelectronic power sources," Nature, vol. 449, pp. 885-889, 2007.

[88] C. K. Chan, et al., "High-performance lithium battery anodes using silicon nanowires," Nature Nanotechnology, vol. 3, pp. 31-35, 2008.

[89] G. Brönstrup, et al., "Optical Properties of Individual Silicon Nanowires for Photonic Devices," ACS Nano, vol. 4, pp. 7113-7122, 2010/12/28 2010. [90] A. R. Guichard, et al., "Light Emitting Silicon Nanowires for Photonic Device

Applications," in Group IV Photonics, 2006. 3rd IEEE International Conference

(35)

*An adapted version of this chapter has been published as a book chapter: Fabrication of Nanowires for Biosensing Applications, Moh, T.S.Y.; Pandraud, G.; de Smet L.C.P.M.; van Rijn, C.J.M.; Sudhölter, E.J.R.; Sarro, P.M. in Nanodevices and Nanofabrication - Selected Publications from Symposium of Nanodevices and Nanofabrication in ICMAT2011, Qing Zhang and W.I. Milne (Eds), Pan Stanford Publishing Pte. Ltd., Singapore, 2012.

Chapter 2

Top Down and Bottom Up*

This chapter describes the advantages of both bottom-up and top-down methods to fabricate SiNWs. The goal is to identify the most suitable approach to implement our objectives and goals in fabricating SiNWs devices for biosensing applications such as FETs with single wires and FETs with arrays of wires

(36)

28

2.1 The growth of Nanowires (bottom up Approaches)

Inspired by Mother Nature “bottom-up” approaches refer to the art of building a structure starting from the very basic elements and continuously moving upwards to form a complex and functional structure. Just as in biology, where a group of similar cells will form a tissue; similar functional type of tissues will form an organ; several organs will be integrated and form a complex system such as the digestion or respiratory systems to finally the whole anatomy of a human/animal body. Similar concepts could be adapted in understanding the growth of synthetic nanostructures, including nanowires. The processes related to chemically synthesized nanowires or to the growth of nanowires by continuously adding atomic or molecular species of a specific material to form more complex structures, have been widely reported [1-3]. In this section, the main methods of growing nanowires, such as vapor-liquid-solid (VLS), vapor-solid (VS) and template-based electrochemical deposition will be discussed in more detail.

2.1.1 Vapor Liquid Solid (VLS)

The growth of a crystalline material from a supersaturated solution through a precipitation process serves as a starting point in understanding the growth process of nanowires. Selecting the correct catalyst material is crucial for growing NWs. This selection step is deduced from the binary phase diagram of the targeted material. By examining the phase diagram, the catalyst composition and temperature for growing NWs can be determined, as reported by Duan et al. [4]. An important growth theory on nanowires was developed based on Vapor-Liquid-Solid (VLS) growing mechanism. The three main steps of the mechanism are illustrated in Figure 2.1.

(37)

29 The first step is the deposition of a catalyst required to start the VLS process. This deposition normally occurs on a clean, planar topography and defect-free surface. Materials that are often used as substrate are silicon wafers, glass or sapphire. The second step involves the melting of the catalyst that gradually forms a liquid droplet due to its surface tension (Fig. 2.1(a)). With the continuous supply of gas precursor inside the chamber and the temperature of the chamber being kept constant at a pre-defined value, material species (from the precursor) inside the chamber will keep falling onto the melted nano-droplets. As the parabolic surface of the nano-droplets has a higher sticking coefficient than the bottom part of the droplets, the concentrated incorporation of the material species will lead to hypersaturation of the material. The third step consists of the alloying followed by nucleation of the material due to cooling and precipitation (Fig. 2.1(b)). Gradually, the NW is formed and grows upwards. Since the growing of NWs happens at the liquid-solid interface and under continuous supply of material in vapor form, this mechanism is called Vapor-Liquid-Solid (VLS) growth. Heterostructures of NWs can be grown by the same mechanism (Fig. 2.1(c)) but with one or more layers of different materials being added horizontally or radially to the existing layer. This is done by alternating the precursors used and taking into account the difference between the lattice constant of different materials [5, 6].

The diameter of the grown NWs corresponds directly to the diameter or the size of the melted catalyst nanodroplets on the substrate surface. Since the nucleation and growing of NWs actually happen at the liquid-solid interface, the catalyst, which is in the liquid form, will remain on top of the NWs up to the end of the process (Fig. 2.1(c)). NWs grown by this mechanism have a minimum diameter of ~10nm and are a few microns up to a few millimeters in length. Better control in synthesizing good

(38)

30

quality NWs by this VLS mechanism, however, largely depends on achieving constant growth rate, tuning the concentration of the precursors, controlling the temperature, the type of catalyst and the growing time.

Figure 2.1: Vapor Liquid Solid (VLS) growth mechanism: a) Deposition of metal catalyst and formation of nanodroplets on a clean substrate. b) Continuous alloying and nucleation resulting in NW growth at the pre-defined sites of the previously formed nanodroplets. c) Growing heterostructure NWs by alternating the gas precursors. d) Coaxially grown NW by using lattice matching and two or more growing steps.

Researchers have been applying various processes to grow the NW via VLS approach. Duan et al. [7] reported the use of a laser ablation technique. Laser pulses are used to vaporize the catalyst. Due to the localized heating generated by the laser, liquid nanoclusters are formed and these nanoclusters will then define the size of the NW grown via VLS. Chemical Vapor Deposition (CVD) such as metal organic CVD and low pressure CVD are also used to grow NWs using similar VLS approach [8, 9]. SiNWs

(39)

31

(a) (b) (c)

growth has been reported by Schubert et al. [10] reported SiNWs growth by switching the chemical beam on and off repetitively over time, in a molecular beam epitaxy (MBE). Examples of these structures are depicted in Figure 2.2.

Different combinations of semiconductor and metal NW growth via VLS mechanism are summarized by Fan et al. [2]. Epitaxial Core-Shell and Core-Multi-Shell heterostructure nanowires with different material and crystalline lattice were also synthesized using with the same technique as used in single-crystalline nanowires [5, 11-14].

Figure 2.2: Examples of NWs grown via VLS with various techniques of forming catalysts nanodroplets: (a) Laser Ablation (b) Low pressure Chemical Vapor Deposition (LPCVD) (c) Molecular Beam Epitaxy (MBE) (reproduced from ref. [2, 4, 10].

2.1.2 Non-Catalytic Growth: Vapor Solid (VS)

NWs can also be grown without the presence of a liquid nanocluster catalyst or the formation of nanodroplets to initiate the growth. This is achieved via thermal evaporation where the concentrated and condensed material is thermally evaporated at elevated temperatures. Subsequent cooling or condensation of the vapor under a correct and suitable operating window (temperature, pressure and

(40)

32

(a) (b) (c)

others) allows the growth of NWs. Since this mechanism of growing NWs does not involve the liquid phase, this method is known as Vapor-Solid (VS) growth mechanism [2, 15, 16].

Figure 2.3: SEM images on complex nanostructures of synthesized ZnO NWs. (a) “Nano-turbines” geometry (b) Nano-combs (c) Hexagonal nano-rods (reproduced from ref.[17])

Silicon and oxide-based NWs (such as ZnO and Ga2O3) can be grown using this

method. Dai et al. reported the experimental procedure and materials selection used to grow oxide nanostructures by this VS mechanism [16], indicating that directional growth of oxide is possible. Yu et al. reported a simple evaporation technique to grow SiNWs [15] with a diameter of 15±3 nm and a length ranging from tens to hundreds of microns. Multi-step and seeded growth of ZnO was studied by Liu and his co-workers who synthesized complex nanostructures such as nanocombs [17]. A few examples are reported in Figure 2.3.

2.1.3 Template-Based Growth

In addition to VLS and VS gas phase growth of NWs, template-based growth through electrochemistry has also been reported. Templates such as porous Anodic

(41)

33 Aluminum Oxide (AAO) layers, nanostructured polymers or meso-porous materials are used for bottom-up growth of NWs [18, 19]. In this approach the first step is to make the porous or semi-porous template. Then, the template is connected to a cathode electrode of an electrical power supply and immersed in the solution containing the NW precursor material.

Figure 2.4: Schematic steps in NWs template-based growth. (a) AAO porous template. (b) A layer of Titanium dioxide (TiO2) is used to reduce the size of the

template pores. (c) A gold layer acts as the cathode during electrochemical process is electroplated before the electrodeposition of cobalt. (d) Cobalt NWs are formed inside the nano-size pores defined earlier (reproduced from ref.[18])

Similarly to the electrochemical setup in a battery cell, the anode is placed in the deposition solution parallel to the cathode. When connected to an electrical source, ions inside the electrolyte or solution will be attracted (or repulsed) to the electrode depending on the electrodes polarity and subsequently a redox reaction will occur. Cations will diffuse to the cathode and reduction takes place, resulting in the growth of NWs inside the pores of the template. Once the pores are completely filled,

(42)

free-34

standing NWs can be obtained by dissolution of the template membrane or layer. The resulting NWs diameter can be as small as 5-6 nm. By adjusting template and coated cathode thickness (Fig. 2.4(c)), different lengths of NW can be formed [18]. NWs obtained from this technique are either amorphous or polycrystalline and mainly confined to metals [20]. Thus, NWs grown with this process have a rough and uneven surface, making this method less attractive for the fabrication of optical devices. Ye et al. described the growth of a cobalt NW via this process as explained in Figure 2.4 [18]. Yan et al. demonstrated that the AAO template is also suitable to be used as a pattern transfer in wafer-scale processing for sub-micron processing [21].

Figure 2.5: Complex geometries of Au NWs using CNTs and electrodeposition: (a-d) optical images; (e-h) corresponding SEM images. (a, e) Coil shapes (b, f) pretzel shapes (c, d, g, h) serpentines shapes (reproduced from ref. [22]).

(43)

35 In 2010, Yarden et al. [22] demonstrated that complex geometries of NWs could be prepared using electrodeposition on Carbon Nanotubes (CNTs) patterns. First CNTs are grown into various patterns using self-assembly methods. Then, these patterns are used as a template for the deposition of NWs from a solution. Different shapes and specific arrangements can be obtained. This is an interesting and not rigid approach with large flexibility in NW shapes. In general, any shape is possible and that is the reason that Yarden termed this method as “drawing with nanotubes”. The method is depicted in Figure 2.5.

2.1.4 Growth from Solution

Growing crystals from solution has been used for decades. The growth process starts when the concentration of a compound in a solvent is higher than the solubility limit of this compound. This leads to oversaturation of the compound dissolved in the solvent. Over time, nucleation and growth starts. Using this method, materials from the II-IV groups have been grown [2]. Holmes et al. [23] reported on the growth of Si NWs from n-dodecanethiol-capped Au nanocrystals ranging between 20 and 30 Å in diameter using a solution-based synthesis. With a similar approach, Hanrath and Korgel [24] reported the successful growth of Ge NWs using alkanethiol protected gold nanoparticle/nanocrystals for seeding purposes. In general, solution-based synthesis results in smaller aspect ratios (diameter to length) than those achieved by vapor-phase synthesis. Advantages of the solution-based approach is the possibility of synthesizing NWs at low temperatures, low cost and on different substrates [25].

2.2 TOP-DOWN APPROACHES

Top-down approaches are mostly derived from integrated circuit processing. Instead of building complex structures starting from the atomic or molecular level, top-down

(44)

36

processing is often associated with steps for example deposition, patterning, growth (oxidation) of different materials and subsequently removal of the patterned region through etching by a plasma or by a chemical solution.

2.2.1 E-Beams and Focus Ion Beam

In the nano-world, to define small dimension structures electron beam (E-beam) lithography or Focus Ion Beam (FIB) lithography are generally used. By manipulating a beam of electrons and ions, dimensions as small as a few nanometers can be patterned on a layer of resist. This pattern is then transferred into the substrate material by etching. In most of the cases, the substrate material is silicon.

Several research groups have used E-beam lithography to define NWs. Among them Li et al. [26] who demonstrated the precisely controlled fabrication of <10nm SiNW devices using E-beam lithography on Silicon on Insulator (SOI) wafers. In 2011 Trevedi et al. [27] reported on using E-beam lithography and an oxidation process to reduce the size of the initial structure (sub-microns) to sub-5nm NWs. Tettamanzi et al. [28] demonstrated an alternative way by using FIB lithography to fabricate niobium (Nb) superconducting NWs. However, these types of patterning (E-beam and FIB) are very slow, time-consuming and expensive compared to conventional optical lithography. It is believed that conventional optical lithography in combination with a size-reduction strategy may provide a simple and more economic solution to realize SiNWs for commercial devices [29-32]. Two recent publications reported on a new mask-less method of patterning using laser beam lithography, also referred to as Laser Interference Patterning (LIP) [33, 34]. It is known that a laser beam can be split into coherent beams with lenses and a beam splitter. By exploiting the standing wave

(45)

37

b) c)

d) e)

f) a)

pattern produced by the interference between these beams, parallel and large scale patterning is possible [35]. The interference period is controlled by the wavelength and the incident angle of the beams [33].

Figure 2.6: Schematic flow diagram for Laser Interference Patterning (LIP). (a) Deposition of photoresist, (b) First laser patterning of the resist, (c) Second laser patterning after the substrate has been rotated 90°, (d) Formation of nanodots pattern after photoresist development, (e) Vertical NWs formed after etching into the substrate, (f) SEM image of vertical acetate film NWs patterned via LIP (reproduced from ref.[33, 34])

Figure 2.6 shows a schematic representation of the LIP process. After double patterning, nanodots are formed and subsequent dry etching will form vertical NWs (i.e. perpendicular to the surface). Although the patterns are limited to squares and hexagonal patterns, this method could potentially overcome the main disadvantages faced by using E-beam or FIB patterning. Although direct LIP is capable of achieving

(46)

38

nanometer wide NWs so far, NWs of only a few micrometer in length are produced [34].

2.2.2 Nano Imprint Lithography Technology

Nano Imprint Lithography (NIL) has become increasingly important for high-throughput patterning of nanostructures [36-38]. The idea behind is to emulate the molding industry where by using a single mold, casting and cooling an identical structure can be reproduced on a very large scale. There are two major steps in nanoimprint lithography: stamping and printing. The stamping step refers to the pre-prepared and well-defined patterns inside a mold being brought in contact with a resist layer on the substrate surface. Printing involves transferring these patterns into the substrate. Available methods for NIL are step and flash imprint lithography (S-FIL) and thermal NIL. The S-FIL method requires the use of a low-viscosity, photo-curable monomer to be coated on the surface of a substrate.

Then, a transparent stamp, generally made from fused silica, is brought into contact with the monomer. UV exposure will cure and harden the patterned (due to the force applied while stamping) monomer. In thermal NIL, the stamp used should withstand high temperature during imprint as heat is employed to harden the patterns formed by the mold. Thermal NIL offers more flexibility in choosing the material for the mold as any hard material could be used since transparency is not an issue in this case. Electron beam lithography is the most standard way to fabricate the stamp with high resolution. However, over the past years different methods to fabricate molds for NIL such as edge lithography [36], shadow deposition patterning [37] and mold-to-mold cross imprint (MTMCI) [38] have been reported.

(47)

39 2.2.3 Size Reduction by Oxidation

A silicon surface will oxidize into silicon dioxide when exposed to oxygen. At room temperature the oxidation process is slow and at a certain level the growth of the oxide will saturate [39]. Thermal oxidation provides a faster and controllable way to grow silicon oxide. By heating up the Si substrate in the range of 800-1200 oC and feeding the chamber with either pure oxygen or water vapor flow, the oxide growing process is initiated. If the silicon surface is coated with a silicon nitride layer, no oxidation will take place. This allows local oxidation of the silicon, also known as Local Oxidation or LOCOS [40]. This selective oxidation was used by Pennilli et al. [41] to reduce laterally the size of Si NWs as illustrated in Figure 2.7. One of the minor disadvantages of using this technique is the formation of a so-called bird’s beak structure (Fig. 2.7(f)) at the corner interface between silicon and the masking materials (silicon nitride) during the oxidation process [42]. The formation of this beak will cause the structure to be widened particularly on the edge.

Recently, Martinez et al. [43] reported on direct oxidation by writing on Si substrate via Atomic Force Microscope (AFM) lithography or nanotip lithography. AFM is a scanning probing tool that uses the deflection of a cantilever to perform probing over an area with a very high resolution (on the order of fractions of nanometers). Since it is a scanning tool, it is also a very suitable nanotool to make local oxidation with nanometer precision on the Si substrate. In this technique, AFM is used to draw a narrow pattern on the silicon substrate by applying voltage pulses between the AFM probe and the substrate surface. These voltage pulses form a water meniscus and this leads to anodic oxidation of the surface. Next, wet or dry etching is used to remove the unprotected (not covered by the oxide) region. This technique is rather slow, making it less attractive for wafer-scale writing.

(48)

40

(e)

(f)

Figure 2.7: Illustration of the use of oxidation process to reduce the size of SiNWs. (a) Definition of the initial NWs structure by anisotropic wet etching (b) Thermal oxidation (c) Selective removal of the oxide layer, revealing the triangular shape SiNW (shape based on initial structure) (d) Formation of metal gate for field effect transistor applications. (e) SEM image of an oxidation-fabricated SiNW, with inset showing a close-up of the 20nm SiNW. (f) Sketch showing the formation of the bird’s beak structure after the oxidation (reproduced from ref.[41, 44]).

2.2.4 Deposition and Etching Under Angle (DEA)

Another low-cost and top-down fabrication technique was recently introduced by Hien et al [30]. It is called Deposition and Etching Under Angle (DEA) as illustrated in Figure 2.8. This technique does not require nanolithography and can be implemented in any conventional micro-technology facility.

(49)

41

(i) (j

Figure 2.8: SiNWs Deposition and Etching Under Angle (DEA) fabrication process (steps (a)-(h)). SEM images showing (i) evaporated thin metal film (width 33 nm) after stripping of oxide and nitride layers (scale bar: 200 nm) and (j) fabricated parallel SiNWs on SOI wafer (scale bar: 200 nm), reproduced from ref.[30].

Cytaty

Powiązane dokumenty

Obserwacja zróżnicowanych przebiegów ustalania się więzi semantycznych między skład­ nikam i w yrażenia m etaforycznego pozwala opowie­ dzieć się za hipotezą,

„Ciemne świecidło”, tym razem pojmowane jako cykl wierszy z o stat­ nich, zanurzonych w fizycznym bólu lat życia W a­ ta, jest bowiem zapisem cierpienia o

nowemu „powołaniu Żyda&#34; nadaje Mandelsztam równie wymyślony przez siebie kształt, jak stworzonej wcześniej osobowości Rosjanina, Europejczyka i Hellena. Do przeszłości

czysto pamięciowy sposób opanowywania literackiej wiedzy — zagraża jej, że nic dostrzeże fun- damentalnej zmiany, jaka dokonuje się właśnie w gustach i zaintere-

We observe individual tunnel events of a single electron between a quantum dot and a reservoir, using a nearby quantum point contact (QPC) as a charge meter.. The QPC is

longest and shortest wave components found in the irregular measured trace OT determine the so-called predictable area in space and time where prediction is possible using trace OT

przedstaw ił on Boskovica teorię celowości, w której podkreślił podsta­ wowe elem enty celowości w ujęciu metafizycznym oraz jakie jest odniesienie współczesnych

Nie mamy w sobie w ielkiej w zajem nie przy­ ciągającej się siły, przeciwnie, antyłączne usposobienie jest główną naszą wadą.... Dlatego gw ałtow ny tylko wir