• Nie Znaleziono Wyników

Resist and Exposure Processes for Sub-10-nm Electron and Ion Beam Lithography

N/A
N/A
Protected

Academic year: 2021

Share "Resist and Exposure Processes for Sub-10-nm Electron and Ion Beam Lithography"

Copied!
119
0
0

Pełen tekst

(1)

Resist and Exposure Processes

for Sub-10-nm Electron and Ion Beam

Lithography

(2)

The research described in this thesis was performed in the department of Kavli Institute of Nanoscience at the Delft University of Technology.

This research was supported by NanoNed, a national nanotechnology program coordinated by the Dutch Ministry of Economic Affairs (project number DTF 07094).

(3)

Resist and Exposure Processes for Sub-10-nm

Electron and Ion Beam Lithography

Proefschrift

ter verkrijging van de graad van doctor aan de Technische Universiteit Delft,

op gezag van de Rector Magnificus Prof. ir. K.C.A.M. Lyuben, voorzitter van het College voor Promoties,

in het openbaar te verdedigen op dinsdag 6 juli 2010 om 10:00 uur

door

Vadim Alexandrovich SIDORKIN

Master of Science in Natuurkunde Voronezh State University, Russia

(4)

Dit proefschrift is goedgekeurd door de promotoren: Prof. dr. H.W.M. Salemink,

Prof. dr. ir. P. Kruit Copromotor:

Dr. E.W.J.M. v. d. Drift

Samenstelling promotiecommissie:

Rector Magnificus, voorzitter

Prof. dr. H.W.M. Salemink, Technische Universiteit Delft, promotor Prof. dr. ir. P. Kruit, Technische Universiteit Delft, promotor Dr. E.W.J.M. v.d. Drift, Technische Universiteit Delft, copromotor Prof. dr. U. Stauffer, Technische Universiteit Delft

Prof. dr. K. Berggren, Massachusetts Institute of Technology, USA Prof. dr. P.M. Sarro, Technische Universiteit Delft

Dr. J. Romijn, Vistec Lithography Inc.

Prof. dr. E.J.R. Südholter, Technische Universiteit Delft, reservelid

Keywords: nanolithography, resist materials, ultra-high resolution

Cover page: SEM image of sub-10-nm structures obtained in 5-nm-thick HSQ resist by helium ion beam exposure

Casimir PhD-series, Delft-Leiden, 2010-15 ISBN/AEN: 978-90-8593-078-5

Copyright © 2010 by V.A. Sidorkin

All rights reserved. No part of the material protected by this copyright notice may be reproduced or utilized in any form or by any means, electronic or mechanical, including photocopying, recording or by any information storage and retrieval system, without written permission from the author.

(5)
(6)

1.1 Thesis motivation ... 1.2 State of the problem... 1.3 Thesis outline...

2 3 5

Chapter 2. Towards ultimate resolution in charged particle beam

lithography ... 7 2.1 System optimization ... 2.2 Resist treatment ... 2.3 Exposure ... 2.3.1 Beam focus ... 2.3.2 Proximity effect ... 2.3.3 Line-edge roughness... 2.3.4 Temperature... 2.3.5 Sensitivity vs. accelerating voltage ... 2.3.6 Ions vs. electrons ... 2.4 Development and drying ... 2.4.1 Temperature... 2.4.2 Ultrasonic agitation ... 2.4.3 Developer composition and strength ... 2.4.4 Inhibition of byproducts formation ... 2.4.5 Drying... 2.5 Inorganic resists... 2.6 Ultra thin layers ...

8 10 13 13 14 15 15 16 17 17 17 18 20 22 23 23 26

Chapter 3. Quantitative approach for establishment of ultra-high resolution patterning ... 31 3.1 Introduction ... 3.2 Experimental... 3.3 Results and discussion... 3.3.1 Knife-edge fabrication and beam size measurements ... 3.3.2 Electron beam focus optimization ... 3.3.3 E-beam exposure in ultra thin resist layers... 3.4 Conclusions ... 32 32 35 35 36 37 39

Chapter 4. Patterning using ultra-thin resist layers... 41

4.1 Introduction ... 4.2 Experimental... 4.3 Results and discussion... 4.4 Conclusions ...

42 42 43 49

Chapter 5. Exposure temperature effects on ultra-high resolution

patterning ... 51 5.1 Introduction ... 5.2 Experimental... 5.3 Results and discussion... 5.3.1 HSQ contrast and sensitivity versus exposure temperature... 5.3.2 Linewidth and height profile for ultra high resolution structures...

52 52 53 53 55

(7)

6.2 Experimental... 6.3 Results and discussion... 6.4 Conclusions ...

63 64 69

Chapter 7. Lithography with sub-nanometer He ion beam ... 71

7.1 Introduction ... 7.2 Experimental... 7.3 Results and discussion... 7.3.1 Contrast and sensitivity ... 7.3.2 Minimal feature size and proximity effect ... 7.4 Conclusions ... 72 72 74 74 77 82 Chapter 8. Helium ion beam lithography using metal oxide resists: preliminary experiments... 85

8.1 Introduction ... 8.2 Experimental... 8.3 Results and discussion... 8.4 Conclusions ... 86 86 87 94 Appendix ... 97 Summary ... 99 Samenvatting... 103 Curriculum vitae ... 107 List of publications ... 109 Acknowledgment ... 111

(8)
(9)
(10)

1.1. THESIS MOTIVATION

With the continuing striving for miniaturization dictated by technological growth there is a current need in formation of nanoscale structures for a broad range of practical and research applications. Typical areas are electronics, magnetics, mechanics, optics, fluidics, bionano devices and mask making. This need forms a growing interest in nanostructuring technologies which comply with market requirements (high resolution, flexibility and speed of structures formation). Charged-particle-beam lithography (CPBL) techniques such as electron-beam or ion-beam lithography (EBL or IBL respectively) are one of the key technologies that satisfy this market need. Optical lithography techniques, i.e. immersion litho at 193 nm and extreme ultraviolet (EUV) at 14 nm, progress potentially to 16-20 nm feature size. Beyond this area CPBL becomes increasingly more important, especially in the sub-10-nm range where the focus of this thesis is on.

Resist based CPBL is a direct-write nanostructuring technique which consists of several steps (Figure 1). First, coating of substrate material is done with a layer of material sensitive to irradiation (so-called “resist”) (Figure 1a). Second, during the exposure step the illumination with an electron or ion beam induces a local chemical modification in the resist material either by cross linking of resist molecules or by scission of chemical bonds (Figure 1b). Subsequently in the development step, the induced cross linking results in reduced solubility of the exposed material - negative tone (Figure 1c) while bond scission yields enhanced solubility - positive tone (Figure 1d). Also, in photolithography, solubility change is the dominant mechanism in Novolak-based resists.

Figure 1. Schematic representation of EBL/IBL processes using negative and positive tone resist materials. (a) Coating of substrate with resist material; (b) Exposure of resist with electron or ion beam; (c) Formed structure in case of negative tone resist; (d) Formed structure in case of positive tone resist.

(11)

Compared to competitive “top-down” technologies (technologies progressively reducing the feature size) like optical lithography, imprint techniques and X-ray lithography, the electron or ion beam approaches possesses several advantages and drawbacks. Major advantage of CPBL is that the beam spot size can be focused down to sub-nm dimensions which results in a high resolution capability. Furthermore, CPBL by direct write, i.e. without a mask gives high flexibility to form structures of various shapes. However, CPBL has serious drawbacks such as essential drain of charge to earth, unwanted beam deflections, localized overheating, possible damage introduced via sputtering or doping especially in the case when large beam currents and ions are used. Moreover, since writing in CPBL is sequential it results in a low throughput compared to projection or parallel patterning techniques (optical, imprint and X-ray lithography). Nevertheless, despite the existing drawbacks, the irreplaceable advantages (high resolution and flexibility) of CPBL classify the development of beam technologies as extremely important for the achievement of ultimate miniaturization of the various types of nanostructures as well as for general industrial needs. Therefore, the objective of this work is investigation of the fundamental and practical limits of the ultimate resolution of formed structures by CPBL and to quantify the processes involved.

1.2. STATE OF THE PROBLEM

The achievement of ultimate resolution in CPBL is about solving a complex problem. It involves several critical steps and processes, some of them at the limit of their performance. Several key factors have to be taken into account in each step which will influence the final result – achievement of the ultimately small features at the highest density. Summary of those key factors are shown in Table 1.

Table 1. Summary of important key factors on each step of lithography process and their possible effects on final structures.

Key factors Effect on final structures System performance

Minimum spot size, aberrations, overlay performance, beam stability

Shape uniformity, feature size, pitch, line edge roughness (LER)

Resist choice and deposition

Sensitivity and contrast, molecular size and weight, molecular weight distribution, layer thickness, pre-baking

Feature size, pitch, LER, surface roughness, pattern transfer capability

Exposure Type of particles, temperature, beam size and focus, beam current, step size, dwell time

Shape uniformity, feature size, pitch, LER

Development Normality, development time, chemical composition Feature size, pitch, LER, surface roughness Rinsing and drying

Rinser chemical composition, type of drying (N2 blow or

critical pressure)

LER, mechanical stability, aspect ratio, adhesion, surface roughness, pattern transfer capability

Post-exposure treatment Baking or pyrolysis, additional exposure Feature size, LER, surface roughness, pattern transfer capability

(12)

From Table 1, we see that in the optimization of the lithography process, it is primarily important to establish an optimal performance of the lithographic instrument. Precise control of the electron- or ion-beam diameter via fine focus tuning and optimization of electron/ion-optical system for minimal aberrations are the main issues here. The minimum achievable beam size in the system basically determines the size of the exposed area which largely fixes the size of the final structures. Aberrations have direct influence on spot size and deviation of current intensity in the beam from perfect Gaussian distribution giving unwanted exposure contributions. Stability of beam characteristics with time is important for ability to perform long time exposures without distortions in the shape and size of structures, overlay deviations and an increase of line edge roughness (LER).

Then, the optimum selection of electron/ion-beam resist with high resolution capability, proper sensitivity and high contrast and good pattern transfer performance is required. Attention should be paid to the storage conditions of resist products. Resist molecular size and weight and their distribution in the film are the main criteria influencing the resolution capability. The size of the resist molecules determines to certain extent the minimum achievable feature size. Furthermore, atoms composing this molecule and their atomic weight also matter by influencing the yield and spread of secondary electrons (SE) and thereby spatially widening the exposure effect. In this respect resist materials of inorganic nature are a good choice. Beside the small molecular size and high atomic weight inorganic materials are relatively insensitive to exposure by electrons and ions. These properties help to fight an extremely important issue in high resolution CPBL - shot noise.

Shot noise describes the fundamental uncertainty in the pixel dose of n particles by n ± (n)1/2. This effect causes shape distortions due to non-uniform distribution of incoming particles thus being one of the contributors to structures LER. Typically the effect manifests when high sensitivity resist is used. The continuous trend to ever smaller pixel size in combination with shot noise pushes towards low sensitive resist. However it also lowers the throughput. Therefore careful attention should be paid to resist sensitivity to keep balance between throughput and shot-noise. Regarding the deposition of resist, ultra-thin films are favorable for resolution since it minimizes spread of primary beam and volume distribution of SE.

A correctly chosen exposure strategy (dose distribution, time control, beam step size, pixelization) provides minimal proximity effect and minimizes the heating which otherwise might result in unwanted distortions of exposed features. Therefore exposure strategy combined with optimum spot focus influences the size and uniformity of the features in the aspects of regularity, shape, surface roughness and the LER.

Due to exposure, a distribution in products is formed, in particular spatially at the edges of the exposure area. The aim of the development step is to remove or to leave resist as rigorous as possible only in the exposed area. Therefore, during the development step the attention has to be paid to the developer temperature, concentration, chemical composition and development time. Those simple factors are crucial in resolving fine structures at ultimately small pitch with small LER and surface roughness.

A post-development rinsing/drying process also determines structures uniformity (shape, LER, surface roughness) and aspect ratio via influence on swelling, mechanical stability of the structures and their adhesion to the substrate. Minimization of aggregates extraction and mechanical stress during conventional rinsing and nitrogen blow-drying

(13)

are important here to decrease LER and to avoid pattern collapse. Small, stand-alone, high aspect ratio structures are most vulnerable during this step. Sometimes, it is important to ensure proper post development treatment of resist layer to decrease size and lower porosity of the structures what could densify resist and so improve pattern transfer capabilities of the final structures.

Concluding, the above mentioned key factors in each process step presents the multiple ways to optimize for ultimate performance in CPBL. Those key factors directly or indirectly influence final structures by resolution (size, pitch) or accuracy of the pattern (shape, regularity, LER, surface roughness, overlay). For evaluation of pattern resolution and quality the measurement of structures critical dimensions (CD) and morphology are measured. Most common methods here are scanning or tunneling electron microscopy (SEM and TEM respectively) and atomic force microscopy (AFM). But careful attention should be paid to proper interpretation of the microscopy data since the acquired image for these methods is not a direct visualization of the real structure. Even so most researchers (counting us as well) use CD microscopy measurements with proper CD deconvolution to get the real feature size. For instance, in SEM the accuracy in measuring a small feature size strongly depends on signal-to-noise ratio (SNR), contrast and material composition while in AFM the feature width is influenced by the probe size and the probe semi angle.

1.3. THESIS OUTLINE

The results presented in this thesis contribute in the optimization and quantification of ultra-high resolution lithography. Pursuing the objective of this work along several research directions the obtained results form the basis for the chapters of this thesis. Accordingly this thesis is organized as follows:

The most remarkable results reported on literature related to this work are presented and discussed in chapter 2.

Chapter 3 deals with three important contributions to quantitative electron beam patterning in the 2-10 nm regime where typically minimal probe size is comparable to feature size. First, the assessment of the electron beam size performed by means of the advanced knife-edge method is described. The peculiarity of this method is the minimization of the “parasitic” contribution from diffracted and scattered electrons into the signal measured by the transmission detector. Secondly, the optimization of the exposure procedure by finding the optimal focus and correction of electron beam astigmatism are presented. Thirdly, the broadening effect of SE on the exposed pattern in resist of varied thickness is discussed.

In chapter 4, the effect of resist thickness on resolution performance of hydrogen silsesquioxane (HSQ) electron beam resist is discussed. The discussion is focused on the contrast, sensitivity, surface morphology and resolution of the fabricated structures which were found to be substantially dependent on film thickness. Additionally, results on resist drying in vacuum at room temperature are presented. This drying method was found to reduce surface roughness of ultra thin HSQ films compared to hot plate drying at 90 °C in air. Results of Monte Carlo simulations of the exposure process are shown to be in good agreement with proposed mechanisms of sensitivity loss and structure linewidth broadening with increase of resist thickness.

The performance of HSQ resist material with respect to the temperature during electron beam exposure is discussed in chapter 5. HSQ is a relatively new e-beam resist,

(14)

useful for sub-10-nm wide structures, when applied in very thin masking layers. Electron beam exposure at elevated temperatures up to 90 °C is demonstrated to induce an increase in sensitivity with slight contrast (γ) degradation as compared to lower temperature processing. Ultra high resolution structures formed at elevated temperatures manifest better uniformity together with an improvement in aspect ratio and less linewidth broadening with overdose in exposure. Potential mechanisms for the observed phenomena are proposed.

In chapter 6, a method for improving the aspect ratio of ultrahigh-resolution structures in negative electron-beam resist is provided for enhanced pattern transfer capabilities. The essence of the proposed method is to form a protective “cap” on top of the resist structure by means of electron-beam-induced deposition (EBID) in a self-aligned approach. This is implemented by a combination of electron-beam lithography and EBID during exposure of the resist material in the presence of a precursor gas. The results of the proposed method using HSQ resist material are presented and discussed, including various attempts to further optimize this method.

As an alternative to EBL, in recent years helium IBL has been developed into practical instruments for ultra high resolution lithography. The opportunities in IBL are in the utilization of completely different beam-resist interaction (due to higher ion mass) and less SE proximity effect. Results on one of the earliest high-resolution investigation of lithography with a sub-nanometer helium ion beam are presented in chapter 7. The powerful lithography performances in terms of high resolution, high sensitivity and a low proximity effect are demonstrated in both a positive poly(methyl methacrylate) (PMMA) and a negative HSQ resist upon helium beam exposure. These resists are shown to exhibit respectively 4 and 17 times higher sensitivity for helium ions than for electrons at the same energy of 30 keV. Furthermore, the results showed comparable resolution capabilities: down to 6 nm in HSQ and 13 nm in PMMA. The superior reduction of proximity effects as compared to electron beam exposure is demonstrated with extremely high-density features with substantially less exposure complications. Overall, scanning helium ion beam lithography is shown to be a promising pattern definition technique for dense sub-10-nm structures.

In chapter 8, the results on investigations of lithographic behavior of Al2O3

material under exposure with a sub-nanometer helium ion beam and comparison in some aspects with exposure response of WO3 are provided. Amorphous Al2O3

demonstrates positive tone and negative tone resist behavior at low and high exposure dose respectively when developed in alkaline solution. No reduction of Al2O3 to Al

takes place under exposure to He+ ions, contrary to the case of WO3, where formation of

W was observed. Throughout, no sub-surface bubbles were observed after exposure to the He+ ion beam within the investigated dose range. Finally, production of lines of 5.1±1 nm CD in 5-nm-thick Al2O3 film after development in MF351 developer is

(15)

Chapter 2

Towards ultimate resolution in charged

particle beam lithography

The most remarkable results reported up to date by researchers working on subjects related to lithography process optimization are presented from the literature and discussed in this chapter.The discussed results demonstrate the methods for adjustment of key factors on critical lithography steps and processes influencing final structures in aspects of high resolution (feature size, pitch, aspect ratio) and high accuracy (LER, surface roughness, mechanical stability and shape uniformity). Principles of potential improvements are sometimes demonstrated on resist processes with a lower resolution.

(16)

2.1. SYSTEM OPTIMIZATION

The accurate measurement of the diameter of the focused spot of an electron beam, stability of electron-optics and mechanical/positional stability are prerequisite in electron beam lithography. The spot size determines the minimum linewidth that can be written by the electron beam machine, while other parameters directly influence stability of the beam in time with respect to the stage, overlay accuracy, maximum size of the writing field and deflections of optimum focus within it.

In theory, prior to any practical measurement, the size of electron probes used in electron-beam lithography systems is commonly estimated using the root-sum-of-squares (RSS) method; i.e., by summing in quadrature the individual effects of the geometrical aberrations and diffraction. This theoretical method assumes that the contribution of the diffraction effects, the size of emission source, the spherical and chromatic aberration to the size of electron probe are all independent. This assumption results in a significant calculation error and does not allow one to treat the result of this method as reliable information. The estimation of the real size of the electron beam becomes more reliable if it is carried out by an experimental method. Most well-known practical methods for the estimation of electron beam spot diameters up to date are: a) image resolution tests; b) scanning the beam over a sharp edge and detecting the transmitted or reflected current. Each of the mentioned methods has its own advantages and flaws depending on the conditions of their application and the accuracy required.

A method of Fourier analysis of digital micrographs from scanning electron microscope (SEM) (Figure 1), with consequent deconvolution of beam size was nicely described by Joy [1]. Beside several advantages such as ability to accurately determine beam size and astigmatism of the beam, this method has several drawbacks. It could not be used in majority of dedicated lithography machines since it requires advanced imaging performance of the system being used, which is not always the case. Additionally, the accuracy of beam size measurement depends on cleanness of the sample’s surface, number of particles on the surface (determines signal-to-noise ratio) and their edge conditions. Also artificial sharpening of the edge feature due to the physics of SE emission could play a role. Furthermore, it is human factor dependent owing to the subjectivity of SEM image acquisition by operators.

Figure 1. SEM image of a 3 nm thick sputtered Au–Pd film on a silicon substrate recorded at 15 keV on a Hitachi S4700. The gap (carbon background) between Au-Pd features is taken as a measure of the imaging resolution and deconvolution of the spot size [1].

(17)

As a good alternative to image resolution analysis, a method providing reliable and consistent measurements of beam diameters was presented by Rishton et al. [2], where etched (100) silicon edges were used (See Fig. 2). This method is called the knife-edge method (KE). The authors showed that this device enables accurate measurements of electron beam diameters of 10 nm and larger, and sometimes of smaller diameter beams with low accelerating potentials and narrow convergence angles. Silicon edges (100) were found to produce higher resolution and more consistent measurements than commonly used etched metal foils, and yield more quantitative information than resolution tests via analysis of micrographs. Rishton et al. also discussed the use of the knife edge method for manual or automatic astigmatism correction.

Figure 2. Measurement of an electron beam using a tilted, etched silicon (100) edge and a transmission detector. The line scan signal from the detector as function of time represents an integral of the electron beam profile [2].

Subsequently, a technique for the measurement of the beam diameter and the positional stability of an electron beam with respect to the substrate using an anisotropically etched (110) silicon edge was presented by Kratschmer et al. [3]. In this case, the measurements were done either in a scanning beam mode to measure the beam diameter or in a stationary beam mode to measure beam positional noise. This technique was used for a quantitative analysis of the resolution and stability in a 8-nm spot size electron beam lithography system. The detection limit of the beam position stability measurements was found <0.1 nm. The authors also demonstrated application of their method for the measurements of mechanical vibrations and acoustical noise, deflection amplifier noise, magnetic interference, and eddy currents in magnetic deflection systems.

The sharp edge method can yield quantitative information about the beam profile, but its resolution is usually limited to few nanometers because of the difficulty of providing a suitably straight, opaque edge. Therefore, parasitic contribution of scattered or diffracted electrons to the “pure” beam signal takes place. To eliminate these parasitic contributions, the method of beam measurement with anisotropically etched silicon knife edge was improved by Yamazaki et al. [4] with the incorporation of metal visors (Figure 3). Ultimate performance (FWHM ~3.6 nm) in electron beam size measurements at thresholds of 50–90% was demonstrated.

(18)

Figure 3. Cross-sectional diagrams of (a) the new KE with Ta visors, and (b) a conventional KE, including the electron beam and scattered electrons [4].

Yamazaki [4] also optimized the electron optics of their lithography system for ultimate performance. The objective lens and the position of the selectable aperture were redesigned. Also a two-step sub-deflector was introduced in order to obtain a uniform diameter in the sub-deflection field. Owing to the high resolution and good uniformity of the electron beam, that system became capable of producing patterns with a minimum linewidth of 5 nm in hydrogen sylsesquioxane (HSQ) resist at the center and the corners of the 500-mm-square main deflection field.

Other good examples of system optimization could be found in the work of Yamazaki et al. [5] and Maile et al. [6]. In the first work, authors obtained a stable beam position (<2nm/5 min), a high exposure position reproducibility with stage movement (<3nm/500 times), and a highly accurate marker registration (3 nm) owing to the suppression of charging-up in the column and precise beam position control. The resulting overlay accuracy was 6-9 nm in |mean| +2σ. In work by Maile et al. [6], the sources of beam positional noise have been eliminated in a Leica EBPG-5000 TFE electron beam lithography system, resulting in an effective spot size of less than 6 nm. Using ultra-small vernier patterns exposed in HSQ resist, the intrinsic overlay accuracy of the system was determined. A |mean| +2σ value better than 4 nm was obtained.

2.2. RESIST TREATMENT

During preparation of resist layer for exposure careful attention should be paid to conditions and time of storage of the material as well as already deposited films. These parameters may have a crucial effect on the exposure characteristics of resists. A nice example is a paper by F. van Delft [7] where effects of aging (Figure 4) and delay-time on contrast and sensitivity were investigated for HSQ resist, which is known to have almost the highest resolution. Also, the effect of a 1 week delay in air between softbake and exposure was found to be much larger than either the separate effects of aging and the softbake temperature. It decreases the sensitivity by 25 µC/cm2 and

enhances the contrast by a factor of 1.2 compared to a freshly deposited film. Possible causes for the delay-time effects are contaminant (water and carbon) absorption and slow propagation of the crosslinked network formation. The author concluded that postexposure delay should be avoided for HSQ, whereas pre-exposure delay in air helps in improving contrast for this resist.

(19)

Figure 4. Contrast and onset dose as a function of aging time of the FOx-12 solution [7]. One of the important issues in high resolution charged-particle-beam lithography (CPBL) is capability to form structures at high writing speed or throughput. A crucial parameter in this matter is resist sensitivity: it determines the exposure dose needed to induce a sufficient level of chemical and structural modification in the material. Hence, a high resist sensitivity implies a high writing speed. At the same time, the sensitivity should not be too high and result in shot-noise which limits seriously the resolution. In the work of Murali et al. [8] an interesting method for an increase of sensitivity was demonstrated for the positive electron beam resist ZEP 520A. Pre-exposure to deep ultraviolet radiation before electron beam patterning (See Fig. 5) resulted in a 30% reduction of required dose. The authors succeeded in maintaining high resolution at a few tens of nm, with a good aspect ratio despite the trade off with a lower contrast, and dissolution of unexposed areas. The goal of authors was not to demonstrate the highest possible resolution, therefore the obtained minimum structures size is not compared to state of the art in ZEP.

Figure 5. Normalized dose-depth curves for various DUV preexposure times. Normalization done after considering resist dissolution in unexposed areas [8].

Another key parameter to influence resist exposure characteristics (contrast and sensitivity) and morphology of final structures is the temperature at resist pre-exposure and post-exposure treatment steps. The temperature can substantially alter the speed of

(20)

solvent removal during drying. The rearrangement of resist molecules in unexposed or exposed films may induce material densification or clustering. Häffner et al. [9] presented a study of the influence of temperature on HSQ e-beam lithography during drying and post-development baking. According to their observation, tempering at relatively low temperatures (~90 ºC) leads to noticeable cross-linking, comparable to the effect of e-beam exposure. The authors also found that drying of the HSQ resist at room temperature under vacuum results in better contrast than a prebake on a hotplate at 90 °C or above (Figure 6). Additionally, further solidification of the developed HSQ resist was demonstrated by baking the material above 300 °C in air.

Figure 6. Comparison of HSQ resist structures after a prebake at 90 °C (a) and vacuum dried (b) at room temperature [9].

An interesting method to enhance the resolution and improve the profile is to confine charged products in the exposure mechanism spatially by electric field. Feasibility of the method is shown by Cheng [10] using chemically amplified resist (CAR) (Figure 7). By applying an alternating electric field during the post-exposure bake (PEB) the photoacid drift (diffusion of H+ radicals) in the vertical direction (perpendicular to substrate) was enhanced thereby confining the lateral acid diffusion. E-field experiments on UVIIHS and UVII-10 CAR resists demonstrated improved profile control and enhanced verticality of resist sidewalls. Also, the reduced lateral diffusion/deprotection significantly improved the tolerance for over- and underexposure and CD uniformity.

Figure 7. Setup of electric-field-enhanced PEB. The wafer is in between two Al sheets, to which the cathodes are connected. The wave form of the output voltage is shown on the right side. It is a bipolar rectangle wave with peak voltage V+dc and –V+dc, and period T, where dc is the dc offset [10].

(21)

It is known that for some e-beam resists cross-linking reaction continues even after e-beam irradiation is over. This phenomenon is called postirradiation polymerization. This is very undesirable effect in high resolution pattern fabrication because of the uncontrolled dimensional change involved. A way to inhibit the postirradiation polymerization was presented by Ohnishi et al. [11] by adding a radical scavenger to resist, which extinguishes radical activity. As a result, the resist pattern width and thickness were freed from time dependent changes after irradiation. As a drawback, the sensitivity was decreased (~4 times) after the addition of a scavenger, however, it stayed within acceptable value (~1 µC/cm2).

After all, the main purpose of using resist in a nanofabrication process is transfer of formed patterns to the underlying material. Therefore resist must have a good resistance against etching substance (plasma or etching solution). Each resist, depending on its chemical composition and physical properties, has an intrinsic etch resistance. But this parameter, if necessary, could be improved by proper resist treatment. Yang et al. [12] demonstrated enhancement of the etch resistance of the HSQ negative-tone electron resist to CF4 reactive ion etching (RIE) by curing it after development. The

curing step (reexposing the posts with the electron dose of 50 mC/cm2) was shown not to impact the resolution while increasing the HSQ etch resistance by ~40% and yielding resist shrinkage by ~23%. Observed effects were attributed to enhanced polymerization resulting in densification of the material.

2.3. EXPOSURE

Exposure is probably the most important step in whole lithography process. During this step the shape of the exposed area is determined. Similar to other lithography steps there are several important parameters which have to be optimized to achieve ultimate resolution of final structures.

2.3.1. Beam focus

The main criteria here are optimum beam spot focus and a stable resist process window (dose range wherein CD deviations are negligible). Keil et al. [13] showed that the isofocal dose method is an universally applicable, precise technique to characterize the e-beam lithography process with respect to criteria mentioned above. The method is based on finding the exposure dose at which a deviation of spot focus from a predetermined value does not result in CD deviation. The process windows for operating at optimum dose and focus can be derived from the so-called Bossung plots (See Fig. 8). Moreover, the isofocal dose was found to be constant (22.1 μC/cm² at 50 kV) for 100 nm thick positive chemically amplified resist (pCAR) resist on different layer stacks (Figure 8a-c). Keil’s results also demonstrated that the best focus point does not always correspond to the system determined value and proper correction should be applied for achievement of best resolution results.

(22)

Figure 8. Bossung plots of 100 nm dense horizontal lines for bare silicon (a), test stack (b) and complex production stack (c) [13].

2.3.2. Proximity effect

Upon writing closely packed structures with high resolution at the same dose, the exposure of the intended pattern is influenced by exposure effect from very nearby pixels or positions. It results in an unbalanced exposure of details in close proximity - proximity effect. This effect is of particular concern in dense patterns with varying feature size and leads to uneven exposure and subsequent development. A general approach to overcome proximity effect is a dose or pattern correction during writing based on the energy point spread function at given exposure conditions (i.e. material system, acceleration voltage). An interesting solution for minimization of the proximity effect was proposed by Nishimura et al. [14] using 1 µm thick poly(methyl methacrylate) (PMMA). The reduction was achieved by a uniform e-beam exposure (bias exposure) in addition to the conventional high-voltage e-beamwriting (Figure 9). According to authors, the principle of this method is that development velocities in exposed and unexposed resist areas approach each other by the bias exposure and result in uniform pattern formation. As a result, at an acceleration voltage of 50 kV and 140 µC/cm2 writing dose, 0.25 µm pattern including isolated window, line and window,and isolated line was formed with an accuracy of ±0.025µm.

(23)

2.3.3. Line-edge roughness

It has to be mentioned that beside its direct influence on the size and shape of the structures the proximity effect could influence another important parameter – the line-edge roughness (LER). In order to reduce proximity effect and the LER of a pattern in e-beam lithography (EBL), a writing method called edge-enhancement writing (EEW) (Figure 10) was developed by Yamazaki et al. [15]. This method involves writing a fine line along the edges at a high dose and filling the area with a lower dose. This provides a steep profile of the energy deposited at the edges, and reduces the unintentional dose in the unexposed regions by control of the overall dose. The high exposure dose breaks up aggregates of resist polymer at the edge, which may cause LER. This increases the energy contrast at the edge, thereby preventing the appearance of aggregates on the sidewall due to undesired dissolution of the edge region. Authors made simulations of the deposited energy profile what was found quite different from that obtained with conventional proximity effect corrections. The experimental results measured by atomic force microscope (AFM) demonstrated that EEW does in fact reduce LER from 2.4 nm to 1.9 nm in ZEP520 resist. A limitation of this method is that it only works for lines several pixels wide.

Figure 10. (a) Polymer aggregates in a resist cause LER in conventional EB writing, (b) Edge-enhancement writing (EEW) reduces LER. Unlike conventional writing where exposure dose (Di) is uniform, EEW uses a much higher dose for edge writing than for the inner area (Di) [15].

2.3.4. Temperature

Similar to the thermal considerations around resist preparation and post-exposure treatment, the temperature during post-exposure could be used as a knob for adjustment of resist sensitivity and contrast. As a pioneering work in this field Harada and Sugawara [16] performed investigations of the exposure characteristics of PMMA and poly(methyl isopropenyl ketone) (PMIPK) with electron beam and deep-UV light irradiation at different temperatures (20-160 ºC). The sensitivities and γ (contrast) values for both types of irradiation were found to vary with temperature (Figure 11). The increase of resist sensitivity with temperature for PMMA was attributed to a change in molecular mobility as it contributes to the main bond scission and recombination of polymer radicals. The resolution and slight contrast variation with temperature change was ascribed to the molecular weight dispersity variation and overlap of molecular weight distributions between original and irradiated resists.

(24)

Figure 11. Temperature effects on the (a) sensitivity and (b) contrast of PMMA and PMIPK for electron beam irradiation [16].

Besides the positive role of temperature during exposure it could also result in unwanted contributions as well. When writing with high voltage, high current and depending on heat absorption of substrate, the temperature during EBL could rise locally up to hundreds of degrees, causing a change of resist sensitivity and leading to variation of critical dimensions. Therefore a method to overcome pattern distortion and to keep throughput at reasonable level is necessary. Babin [17] proposed two methods of correction for temperature variation. In the first method, the exposure doses for individual exposures were adjusted (via dwell time control) so that the heating error in CD variation was suppressed. The second correction method took into account the fact that the adjustment of exposure doses for individual exposures corrects for heating; however, it also changes proximity effects. And in turn, dose modulation in proximity correction led to different temperature increases. Then both effects were taken into account simultaneously and the doses of exposures were determined to correct for both effects.

2.3.5. Sensitivity vs. accelerating voltage

In the exposure step the beam energy is a useful parameter to tune the sensitvity for a higher throughput. With the sensitivity inversely proportional to the beam energy it pushes to lower beam energies. On the other hand the volume of the beam interaction with resist is getting larger at lower beam energies thus reducing the resolution. Yang et al. [18] investigated low-energy electron-beam lithography using HSQ as a negative electron resist in the energy range between 2 and 20 keV. The authors confirmed that the required electron dose is drastically reduced at low electron energies (Figure 12) and in the low kV range the achievable density of the pattern strongly depends on the exposure energy (not less than 80 nm pitch was resolved at 2.5 kV). They concluded that the most suitable energy for high-resolution low-energy e-beam lithography with 50 nm thickness HSQ is about 10 keV, which limits the resolution.

(25)

Figure 12. The exposure response curves with the different exposure energy using 2.5% developer concentration [18].

2.3.6. Ions vs. electrons

Finally, in the search for an optimum exposure process (high resolution and throughput, low proximity effect) a nice parameter could be the nature of the charged particles, for example exposure by light ions (H+, He+) instead of electrons. It is known that light ions tend to keep a straight trajectory hence the exposed features might have better vertical sidewall properties. Many standard commercial resists are known to work well under ion exposure. Sensitivity is usually higher owing to the high yield of low energy secondary electrons (SE). Another effect that has to be considered is a penetration for ions into the substrate. Light ions, such as hydrogen or helium, have no or little implantation effect as well as a low sputtering effect compared to heavy ions like Ga+ etc.. Moreover light ions have a low backscattering coefficient really favorable from a low proximity effect perspective. Till recently ion beam exposures suffered from competing resolution performance compared to e-beam exposures because of available minimum beam spot size. However, recently Winston et al. [19] successfully fabricated 20 nm pitch structures with good feature separation and 10 nm pitch structures with poor, but resolvable, feature separation using scanning helium ion beam lithography (HIBL) with a sub-nm beam spot size. They showed that resolution of HIBL is comparable to that of EBL. The helium-ion dose required to print features in HSQ on silicon was found to be roughly an order of magnitude smaller than that required with electrons. The authors also measured the point-spread function (PSF) for helium-ion exposure of a thin film of HSQ on silicon. The measurement of PSF indicated that the backscattering coefficient in the proximity effect is 2-3 orders of magnitude less compared to EBL.

2.4. DEVELOPMENT AND DRYING

The processing steps following exposure – resist development and drying provides a number of opportunities to optimize the lithographic process.

2.4.1. Temperature

As in the resist preparation and exposure steps, the temperature during development could seriously influence resolution and uniformity (regularity, shape,

(26)

LER, surface roughness) of the final structures. Developer temperature amplifies or decreases the speed of development by activation or deactivation of molecular mobilities. Overall the developer temperature is a universal tool for the adjustment of resist sensitivity and contrast, and therefore also for tuning the process to achieve the best resolution. The effect of the development temperature on the key performance parameters (sensitivity, contrast, resolution) of the most frequently used e-beam resist PMMA has been investigated by Cord et al. [20]. The authors investigated impact of development temperatures from room temperature down to −70 °C. The results showed that cooling, in addition to reducing the sensitivity of the commonly used positive-tone mode of PMMA, also increases the sensitivity of its less commonly used negative-tone mode (Figure 13). Below −20 °C, the doses required to expose the resist are so high that cross-linking in the exposed PMMA becomes significant, reducing solubility and degrading the resist contrast. The optimum development temperature is the point where maximum freeze-out of the partially exposed chains at the edges of the feature occurs, but the sensitivity is still high enough to avoid cross-linking during the resist exposure process. The authors showed that the resolution-enhancing properties of cold development peak at approximately −15 °C as a result of these competing changes. Developing PMMA in 3:1 IPA:MIBK at lower temperatures gives only a little increase in lithographic resolution. It was shown that, when PMMA is developed at the proper temperature, sub-10-nm features are readily achievable.

Figure 13. Exposure response curves measured for PMMA exposed at 30 kV and developed in 3:1 IPA:MIBK at various temperatures [20].

2.4.2. Ultrasonic agitation

Actually, not only the developer temperature could provide a convenient way to find a balance between resolution, contrast and sensitivity. As a complementary method for that purpose also ultrasonic agitation could be used. Rooks et al. [21] presented the results of isopropyl alcohol:water development for thick PMMA, and described the dependence of resist contrast on the temperature of the developer. The results showed an increase of contrast from 3.7 at room temperature to 6.1 at 0 ºC. No explanation was provided for this effect. The authors also demonstrated the advantage of ultrasonic agitation during development for aspect ratio (Figure 14a) and linewidth (Figure 14b) improvement. These development techniques brought resist profiles in PMMA to the

(27)

theoretical limit of aspect ratio for 100 kV e-beam exposures predicted by Monte Carlo simulations. They demonstrated 14:1 aspect ratios in resist, using cooled mixtures of IPA and water and ultrasonic agitation.

Figure 14. (a) Developed depth of narrow lines vs. time with and without use of ultrasonic agitation during development in IPA:water 3:1. Lines are fully developed after 4 min with ultrasonic agitation, and after 10 min without ultrasonic agitation. Vertical lines are shown at these two development times. (b) Developed width of narrow lines vs. time, with and without ultrasonic agitation, showing the improvement in linewidth at full film development due to the shorter development time by 18% (from 450 to 370 nm) [21].

Nice examples of ultrasonically assisted development advantages were also shown by Yasin et al. [22]. In this work, ultrasonically assisted development with calixarene resist was demonstrated to improve the exposure dose latitude (linewidth response to overdose) by 50% compared to the conventional dip development. For isolated lines, ultrasonically assisted development, was found to prevent any distortion in nominal linewidth and line acuity, due to its faster development rate and reduced development time. This advantage was exploited to realize ~6 nm wide lines in calixarene resist (Figure 15). The improvement was found to be significant when the nominal linewidth was approaching the beam diameter (2-3 nm).

(28)

Figure 15. SEM images of single pass lines in calixarene at 80 kV using: (a)

ultrasonically assisted development for 5 s; (b) conventional dip development for 30 s [22].

2.4.3. Developer composition and strength

In CPBL, resist behavior, such as sensitivity, contrast, exposure dose latitude, roughness and resolution, are strongly influenced by the developer composition. An outstanding result in improvement of HSQ lithography process were demonstrated by Yang et al. [23]. The key factor in their work was addition of salt to the developer. The authors, demonstrated the patterning of 4.5-nm-half-pitch (Figure 16) structures using EBL exposure combined with a high-contrast salty (1 wt% NaOH, 4 wt% NaCl) development process. The development process of HSQ was shown to be a rapidly self-limiting process. They hypothesized a development mechanism for hydrogen silsesquioxane, wherein screening of the resist surface charge taking place in the salty developer is crucial to achieve a high initial development rate. It is pointed out that this developer ability for screening might be a more accurate assessment parameter of developer performance than developer contrast. Finally, they showed that with a high-development-rate process, a short duration development of 15 s was sufficient to resolve high-resolution structures in 15-nm-thick resist, while a longer development degraded the quality of the structures without improvement in the resolution.

Figure 16. SEM image of 9-nm-pitch nested-L structures patterned in 10-nm-thick HSQ using the Raith 150TWO at 10 kV acceleration voltage, followed by a 4 min

(29)

A straightforward method to improve resist contrast and resolution is optimization of the developer strength in combination with development time. An extensive study of developer concentration parameters pertinent to electron beam lithography with HSQ as a negative tone electron beam resist were presented by Henschel et al. [24]. The authors found that with higher developer concentrations contrast and reproducibility are improved significantly at the expense of lower sensitivity (Table 1). These results were discussed within a model based on the rate of crosslinking and network structure formation in HSQ. The possible role of an oxidized surface layer was proposed within this work. Contrast values as high as 10 and a good reproducibility were obtained with a developer concentration of 25% tetramethyl ammonium hydroxide. Real lithographic structures created under these conditions showed excellent reproducibility and profiles with an aspect ratio up to 7.1.

Table 1. Mean sensitivity (onset dose D0 and dose at 80% of the resist thickness D0.8)

and contrast values as well as of their deviations for the different developer concentrations [24].

Additionally, the influence of developer strength on the ultimate resolution of electron beam lithography in ultrathin HSQ layers was studied by Grigorescu et al. [25]. Three different developers (MF 322, Microposit 351 and AZ 400K) with different strengths were used to develop lines exposed at a variety of doses. Isolated lines widths between 7 and 12 nm were successfully fabricated, and an optimum dose to define such lines was found for all developers. The smallest isolated structures 6 nm in size were achieved in 10 nm HSQ resist layers on a silicon substrate at 100 keV. The authors also showed that dense structures (5-nm-wide lines at a pitch of 20 nm) could be obtained using a 1:5 developer solution of Microposit 351:H2O. The most remarkable finding

was that the smallest structures of 2–4 nm width never showed up. A reduction of the development time was found to have no further impact. A possible explanation for the observed minimum features size of ~5-6 nm is still open. It could be a weak mechanical stability so that smaller structures are washed away by the developer. Another reason could be the lower contrast for shrinking feature size in negative tone resist.

Analogous investigations of developer composition and concentration were performed for PMMA resist by Yasin et al. [26]. They compared the influence of three developers, water/IPA, MIBK/IPA and water/MIBK on PMMA resist behavior. It turns that the unconventional developer consisting of IPA and water has superior performance in terms of sensitivity and contrast (Figure 17). The authors observed improvements in sensitivity (40%), in contrast (20%), in exposure dose latitude (40%) and in surface roughness (nearly an order of magnitude) compared to the conventional 1:3 MIBK/IPA

(30)

developer. All these improvements contributed to the fabrication of gratings of with a minimum size of 16 nm lines and spaces in PMMA resist with 3:7 water/IPA developer.

Figure 17. Normalised thickness vs. exposure dose of PMMA for (■) 1:3 MIBK/IPA, (●) 3:7 water/IPA and (□) 3:7 MIBK/water [26].

2.4.4. Inhibition of byproducts formation

The formation of byproducts could be additional limitations of development process beside limitations discussed in the previous section. Lee et al. [27] identified that the HSQ resist development in tetramethylammonium hydroxide (TMAH) solution stops after a few minutes due to the formation of a TMAH-insoluble, siloxane-like layer at the surface. The inhibition severely limits the delineation of high-density nanometer-scale patterns. The mechanism was unraveled by x-ray photoelectron spectroscopy. Furthermore, once this layer could be successfully removed by using a dilute HF dip, one can continue the resist development up to a certain period of time again. Based on these results, authors proposed an interesting two-step development process which includes a short diluted HF-dipping process in between the normal TMAH development (Figure 18). It was shown that the proposed two-step development method cleanly removes resist scum between patterns and is effective in generating high-density (down to 25 nm pitch) ~10 nm dot-array patterns.

Figure 18. Exposure response curves of HSQ resist for TMAH, TMAH/HF, and TMAH/HF/TMAH development [27].

(31)

2.4.5. Drying

The process which has to go in synergy with the development process is the drying process (removing of developer from the formed structures). The conditions of this step are not less important than conditions of the development. Depending on method of drying, structures could suffer from swelling, corrosion or loss of mechanical stability. It is known that fine isolated and dense lines of resist could collapse when dried by conventional N2 blowing after development, thereby lowering the practical

value. For nanolines in resist, the collapse is due to the swelling and stress buildup that results from the wet development and rinsing. To prevent collapse, the cause of the swelling must be overcome before drying. As shown by Namatsu et al. [28], the solution to this problem is to dry the resist with supercritical CO2, which readily

diffuses into the resist polymer and replaces the rinse solution. The authors practically showed that supercritical resist drying with carbon dioxide prevents the collapse of isolated lines and that this drying technique enables the formation of nanolines with a width of 7 nm, a small line-edge roughness of less than 1 nm, and a high aspect ratio of over 10.

Küpper et al. [29] showed that the origin of surface roughness in the negative tone electron resist HSQ is associated with polymer aggregate extraction not only during resist development but also during resist drying (Figure 19). Possibilities to reduce surface roughness and line-edge roughness by optimizing resist rinsing and drying were evaluated. Small improvements (up to 2.5 %) have been achieved with the introduction of an additional 2-propanol rinsing step into the process flow. A significant reduction of surface roughness of up to 20% compared to standard processing, however, has only been achieved using supercritical CO2 drying instead of the conventional

nitrogen blow. In addition to the reduced polymer aggregate extraction during development, this was attributed to reduced resist swelling and polymer extraction during resist drying.

Figure 19. Schematic diagram of a drying process of a negative tone resist including resist swelling during development and rinsing (a), polymer aggregate extraction during resist drying (b). A dried structure (c) [29].

2.5. INORGANIC RESISTS

One of the fundamental steps of the CPBL process is the optimum selection of the resist material. In a quest for resist for high resolution structures formation, one may think over inorganic materials. Inorganic materials have small grain size favorable for

(32)

high resolution. They possess low sensitivity giving low shot noise effect because they are exposable mainly by high energy electrons or ions. The former one caused by low susceptibility to interaction with SE due to strong chemical bonds as well as high density and high Z number (favorable for small penetration of fast SE). Following these criteria materials of inorganic nature possessing resist properties with small molecular dimensions that can remain in the amorphous state (helps to suppress roughness) after exposure and subsequent development is the best choice. Moreover, most inorganic materials can be easily deposited in ultra thin layers via sputtering or evaporation deposition techniques which are also favorable for formation of high resolution structures. Inorganic resist materials are also favorable for pattern transfer due to their low etching speed in aggressive environments (plasma or acid and alkaline solutions).

Up to date the highest resolution in resist based lithography was demonstrated using metal halide positive tone resists. The mechanism of exposure for those materials is preferential sputtering of the halide atom which does not require a consequent development step. Muray et al. [30] demonstrated patterningon a 1–2 nm size scale (Figure 20) withself-developing AlF3 resist using a sub-nanometer diameter 100 keV

electron beam. The investigations indicated that the mechanism of the exposure is preferential sputtering of the halide. According to electron energy loss spectroscopy studies, the halide ion is removed first, followed by displacement ofthe metal ions. Additionally, it was shown that AlF3 can be used as a mask to replicate nanometer scale

patterns into Si3N4 using reactive ion etching. Furthermore, the authors demonstrated

that under appropriate exposure of AlF3, aluminum metal structures can be fabricated in

situ. After all, they were even able to produce continuous metal wires in this manner

that are more than 1 µ long with cross sections of 8×10 nm.

Figure 20. Bright field micrograph of a pattern replicated into Si3N4 using AlF3 as resist.

The rectangle between four dots has dimensions of 8×10 nm [30].

In aspect of chemical composition and structure metal oxide films are close to metal halides. Therefore it is possible to expect that mechanism of their exposure should be similar. An extensive study of e-beam exposure behavior of amorphous films of A12O3, Y2O3, Sc2O3, 3A12O3·2SiO2, and MgO·A12O3 films were performed by

Hollenbeck et al. [31]. The exposure response of high resolution oxide resist materials has been examined under high intensity irradiation conditions (~1×105 A/cm2 at 100 kV) to determine the relationships among film characteristics, exposure requirements, and ultimate resolution, and to explore the processes responsible for ablative exposure. An amorphous film structure was found to be necessary to achieve rapid removal of material during exposure. Material properties like ionic character, heat of formation, and

(33)

melting point turned out to influence the irradiation response. Film thickness was found to influence strongly both exposure requirements and resolution, an optimum thickness occurring at approximately 90 nm in amorphous A12O3. In this film the dose of 2.5×103

C/cm2 was needed. Finally, resolution of RF sputtered aluminum oxide films allowed the production of 5.0 nm holes on 8.1 nm centers (Figure 21).

Figure 21. STEM bright-field image of an Escher bird pattern produced from a 128 × 128 bit array of 5.0 nm holes in an 87.5-nm-thick amorphous A12O3 film [31].

Also some transition metal oxides were found to possess resist properties. Koshida et al. [32], studied transition metal oxide films (WO3, MoO3, V2O5 and a

mixture thereof) as a high-resolution, negativeworking ion resist for focused ionbeam (FIB) exposure. However the exposure mechanism for those materials was found to be similar to a conventional resist, i.e. a chemical modification is introduced to the exposed material and therefore a development step was required. The authors performed development with a TMAH solution. Obtained results demonstrated that transition metal oxide resists are useful for Ga+ FIB lithography. The details of the exposure mechanism were qualitatively explained in terms of a stoichiometry change and a structural transition from an amorphous to crystalline state due to local heating by the ion bombardment.

Obviously, oxide and halide films are not the only possible inorganic materials what exhibit resist properties. Recently, a unique solution-deposited inorganic resists for both high-sensitivity and high-resolution work was presented by Stowers et al. [33]. The material system on the basis of the Zr and Hf sulfates was deposited by spin coating from aqueous solution. With a 30-keV electron-beam, a resist sensitivity as low as 8 µC/cm2 was realized. At higher exposure doses, 15-nm lines and 36 nm dense features (Figure 22) have been written with a linewidth roughness near 2 nm. Moreover, excellent selectivity in reactive-plasma etching of thermally grown SiO2 (>7×) was

demonstrated. Altogether one may foresee these resist materials are very promising in both high-speed and high-resolution patterning as well as in the direct writing of functional materials.

(34)

Figure 22. SEM image of 36 nm lines on a 100 nm period in ZrSOx at a dose of 810

µC/cm2 (30 keV electron-beam) [33].

An additional nice example of advanced resist materials is the negative tone organo-metal compound of zinc naphthenate. An intriguing point here is that the size of the structure after development can be shrunk by pyrolysis to crystalline ZnO. The fabrication of sub-10-nm high aspect ratio structures of ZnO was shown by Saifullah et al. [34]. After electron beam exposure, this resist was developed in toluene, resulting in high-resolution patterns as small as 7 nm with an aspect ratio of ~10. The line edge roughness (3σ) of these lines shows roughness with fluctuations of about 2.8 nm. Heating the structures to 500 °C resulted in the reduction of minimum feature size to ~5 nm (Figure 23) with a slightly reduced line edge roughness (about 2 nm). Therefore, Zn naphthenate resist could be used for direct formation of devices based on the ZnO piezoelectric properties. A serious drawback of the pyrolysis is that a significant amount of stress is introduced.

Figure 23. Reduction of linewidth to ~5 nm after pyrolysis to crystalline ZnO at 500 °C for 1 hour [34].

2.6. ULTRA THIN LAYERS

It is assumed that in the ultra thin layers (UTL) in the sub-10-nm range the spreading of the primary electron or ion beam, the yield of SE and the spatial distribution of SE are minimized. Additionally, thinner layers of resist may be also favorable for fast removal of unexposed resist during development thus giving less time for formation of scum around exposed areas. The above mentioned factors are expected

(35)

to facilitate formation of ultra high resolution structures despite existing drawbacks such as difficulty in pattern transfer and possible high surface roughness for UTL compared to thicker layers of resist.

One of the first reports on UTL investigations was done by Kuan et al. [35]. In order to improve pattern fidelity of EBL in the nanometerregime authors investigated ultrathin resist layers of PMMA prepared either by spin casting orLangmuir–Blodgett (LB) techniques. The lithographic performance of UTL PMMAfilms with a thickness ranging from 0.85 nm (one monolayer) to 7.7nm (nine monolayers) exposed at different electron beam energies was investigated. It was shown that UTL PMMA films can be exposed withvery low energy (<1 keV) electrons to virtually eliminate proximityeffects. When exposed at more conventional higher electron energies the UTL films allow for an easier proximity effect correction than in usual resist film thickness. It was also demonstrated that even a one monolayer thick barrier of PMMA can act as a wet etch mask for the etching of the underlying chromium layer. Also the pinhole density in UTL PMMA films was investigated. Porous defects generated by the backscattered electrons at the resist-substrate interface were shown as an intrinsic weak spot in the monolayer resist films. It was demonstrated that the number of these porous defects could be minimized by using resist films thicker than seven mono layers (6 nm), while at nine layers the porous defects were effectively eliminated.

Increase of porous defects with decrease of resist thickness is not the only drawback of using UTL of resist. In the work of Kanzaki et al. [36], the thickness dependence of the roughness of UTL of ZEP520 electron-beam resist was investigated using AFM. The line-edge-roughness was found to increase with decreasing resist thickness (Figure 24). In particular, LER strongly increases when the thickness is less than 30 nm. Polymer aggregates, which are well-observed in conventional resists, were found in compressed form even in this ultrathin ZEP films. The authors speculated that both the existence of polymer aggregates, which have a slow dissolution rate, and the fast dissolution rate of the entire resist film, which is mainly composed of the surrounding polymers, possibly cause the large roughness in UTL of ZEP resist.

Figure 24. AFM images of a line pattern in ZEP resist with a designed linewidth of 50 nm. (a) line pattern in 10-nm-thick resist and (b) line pattern in 100-nm-thick resist [36].

Qualitatively similar to report of Kanzaki et al., investigations on the smoothness of the HSQ resist thin films surfaces for nanolithography applications were conducted

(36)

by Word et al. [37]. It was shown that HSQ films at thicknesses down to 25 nm have low root-mean-square roughness (~0.5 nm) and are defect free. Using 50 kV electron beam lithography, the authors demonstrated isolated 6-nm-wide lines and 27 nm period gratings in 30 nm HSQ films on silicon substrates. They also suggested that by further refining of the exposure strategies and the development procedure, and by reducing the film thickness, smaller periodicities will be possible.

In the utilization of UTL of resist for high-resolution purposes the effect of the resist thickness on the dose to print has to be taken into account. A distinct variation in the required dose to print high-resolution features with EBL in a positive CA resist was demonstrated for the first time by Madeiros et al. [38]. They observed that the required dose to print 100 nm images increased as the thickness of the film decreased (Figure 25). Such a relationship was not observed when the same resist was exposed with optical radiation. Altogether, the data indicated that the dose variation with thickness may correlate to differences in the population of “chemically effective” electrons in the energy range of 10 to 100 eV that are responsible for the exposure of the electron beam resist. Even so the effect was observed over a rather large thickness range. It was argued that thinner films provide a less effective path length for the cascade of SE to occur and thus results in a lower population of these “chemically useful” electrons. (More detailed description of underlying mechanisms is presented in chapter 4)

Figure 25. Thickness dependence on the dose to print in KRS-XE resist exposed with 75 keV electrons [38].

Self-assembled monolayers may be also useful as ultrahigh resolution electron beam resist for the patterning of sub-10-nm structures. Evidence for this idea was provided by Lercel et al. [39]. In their work e-beam modification of self-assembled monolayers yielded sub-10-nm features by using an SEM with a quantified e-beam diameter. A minimum dot size of approximately 5 to 6 nm was demonstrated in an octadecylsiloxane monolayer at a dose of ~7 fC/dot on silicon by e-beam exposure at 20 keV beam energy. The patterned dots were imaged with an AFM. The authors concluded that the observation of smaller structures was prohibited either by the small contrast in the AFM imaging for smaller dots or an intrinsic material limit caused by the SE range.

(37)

REFERENCES

[1] D.C. Joy, J. Microsc. 208, 24 (2002).

[2] S.A. Rishton, S.P. Beaumont, and C.D.W. Wilkinson, J.Phys. E:Sci. Instrum., 17, 296 (1984).

[3] E. Kraschmer, S.A. Rishton, D.P. Kern, and T.H.P. Chang, J.Vac. Sci. Technol. B 6, 2074 (1988).

[4] K. Yamazaki and H. Namatsu, Jpn. J. Appl. Phys. 43, 3767 (2004).

[5] K. Yamazaki, A. Fujiwara, Y. Takahashi, H. Namatsu, and K. Kurihara, Jpn. J. Appl. Phys. 37, 6788 (1998).

[6] B.E. Maile, W. Henschel, H. Kurz, B. Rienks, R. Polman, and P. Kaars, Jpn. J. Appl. Phys. 39, 6836 (2000).

[7] F. van Delft, J. Vac. Sci. Technol. B 20, 2932 (2002).

[8] R. Murali, D. Brown, K.P. Martin, and J.D. Meindl, J. Vac. Sci. Technol. B 25, 2064 (2007).

[9] M. Häffner, A. Haug, A. Heeren and M. Fleischer, H. Peisert, and T. Chassé, D.P. Kern, J. Vac. Sci. Technol. B 25, 2045 (2007).

[10] M. Cheng, L. Yuan, E. Croffie, and A. Neureuther, J. Vac. Sci. Technol. B 20, 734 (2002).

[11] Y. Ohnishi, M. Itoh, K. Mizuno, H. Gokan, and S. Fujiwara, J. Vac. Sci. Technol. B 19, 1141 (1981).

[12] J.K.W. Yang, V. Anant, and K.K. Berggren, J. Vac. Sci. Technol. B 24, 3157 (2006).

[13] K. Keil et al., Microelectron. Eng. 85, 778 (2008).

[14] E. Nishimura, T. Takigawa, T. Abe, and Y. Katoh, J. Vac. Sci. Technol. B 4, 164 (1986).

[15] K. Yamazaki, T. Yamaguchi and H. Namatsu, Jpn. J. Appl. Phys. 42, 3833 (2003). [16] K. Harada, S. Sugawara, J. Appl. Polym. Sci. 27, 1441 (1982).

[17] S. Babin, I. Kuzmin, Proc. SPIE 6283, 62831Q-1 (2006).

[18] H. Yang, A. Jin, Q. Luo, C. Gu, Z. Cui, Y. Chen, Microelectron. Eng. 83, 788 (2006).

[19] D. Winston et al., J. Vac. Sci. Technol. B, 27, 2702 (2009).

[20] B. Cord, J. Lutkenhaus, and K.K. Berggren, J. Vac. Sci. Technol. B 25, 2013 (2007).

[21] M.J. Rooks, E. Kratschmer, R. Viswanathan, J. Katine, R.E. Fontana, Jr., and S.A. MacDonald, J. Vac. Sci. Technol. B 20, 2937 (2002).

[22] S. Yasin, D.G. Hasko, and F. Carecenac, J. Vac. Sci. Technol. B 19, 311 (2001). [23] J.K.W. Yang et al., J. Vac. Sci. Technol. B 27, 2622 (2009).

[24] W. Henschel, Y.M. Georgiev, and H. Kurz, J. Vac. Sci. Technol. B 21, 2018 (2003).

[25] A.E. Grigorescu, M.C. van der Krogt, C.W. Hagen, and P. Kruit, J. Vac. Sci. Technol. B 25, 1998 (2007).

[26] S. Yasin, D.G. Hasko, H. Ahmed, Microelectron. Eng. 61, 745 (2002).

[27] H.-S. Lee, J.-S. Wi, S.-W. Nam, H.-M. Kim, and K.-B. Kim, J. Vac. Sci. Technol. B 27, 188 (2009).

[28] H. Namatsu, J. Vac. Sci. Technol. B 19, 2709 (2001). [29] D. Küpper et al., J. Vac. Sci. Technol. B 24, 570 (2006).

(38)

[30] A. Muray, M. Scheinfein, and M. Isaacson, I. Adesida, J. Vac. Sci. Technol. B 3, 367 (1984).

[31] J.L. Hollenbeck and R.C. Buchanan, J. Mat. Res. 5, 1058 (1990).

[32] N. Koshida, Y. Ichinose, K. Ohtaka, M. Komuro and N. Atoda, J. Vac. Sci. Technol. B 8, 1093 (1990).

[33] J. Stowers, D.A. Keszler, Microelectron. Eng. 86, 730 (2009). [34] M.S.M. Saifullah et al., J. Vac. Sci. Technol. B 24, 1215 (2006).

[35] S.W.J. Kuan and C.W. Frank, Y.H. Yen Lee, T. Eimori, D.R. Allee, and R.F.W. Pease, R. Browning, J. Vac. Sci. Technol. B 7, 1745 (1989).

[36] K. Kanzaki, T. Yamaguchi, M. Nagase, K. Yamazaki, and H. Namatsu, Jpn. J. Appl. Phys. 41, L1342 (2002).

[37] M.J. Word, I. Adesida, P.R. Berger, J. Vac. Sci. Technol. B 21, L12 (2003). [38] D.R. Medeiros et al., Proc. SPIE 4345, 241 (2001).

[39] M.J. Lercel, H.G. Craighead, A.N. Parikh, K. Seshadri, and D.L. Allara, Appl. Phys. Lett. 68, 1504 (1996).

Cytaty

Powiązane dokumenty

Z taką wykładnią art. W niepublikowanej opinii prawnej Depar- tamentu Prawnego Ministerstwa Środowiska podniesiono, że przy analizie za- łącznika nr 6 do rozporządzenia

N ieskończona przestrzeń sieci wyzwala niepokój, że nie wszystko się znalazło, że gdzieś trafi się jeszcze na coś ważnego, nie do pom inięcia.. W efekcie m a się

Podobnie rzecz się m a z osadnictw em i językiem. I tu sytuacja Polski pasuje jak ulał do taksonom ii kolonializm u. Po ob ejrzen iu tych stolic staje się jasne,

Many business people face the lack of knowledge and information, which on one hand make it easier to spread and deepen wrong stereotypes connected with this notion and on the other

Dzień Guzika Dzień Misia Dzień Kredki Dzień Listonosza Dzień Poczty Dzień Uśmiechu Dzień Kropki Mieszkańcy Łąki Karty pracy o grill Karty pracy o dynii Karty pracy o Polsce

W dalszej części debaty głos zabrała Barbara Weigl z Wyższej Szkoły Psy- chologii Społecznej, współautorka pro- gramu edukacji wielokulturowej w szko- łach (Weigl,

U podstaw doświadczenia piękna dzieła sztuki tkwi zatem całkiem inny stosu- nek tego, co trwałe (pamięć), do tego, co przemijające (postrzeżenie), niż ma to miejsce

Ustawodawca wprowadził pojęcia daty przydatności do spożycia i minimalnej trwałości, co dodatkowo sprzyja marnowaniu żywności, żywność bowiem nie może